研究成果

研究業績受賞歴

年度:2020 | 2019 | 2018 | 2017 | 2016 | 2015 | 2014 | 2013 | 2012 | 2011 | 2010 | 2009 | 2008 | 2007 | 2006 | 2005 | 2004

2016年度研究成果

学術論文 / Journal Papers

  1. T. Hosoi, D. Nagai, M. Sometani, Y. Katsu, H. Takeda, T. Shimura, M. Takei, and H. Watanabe,
    "Ultrahigh-temperature rapid thermal oxidation of 4H-SiC(0001) surfaces and oxidation temperature dependence of SiO2/SiC interface properties,"
    Applied Physics Letters, 109, (17)182114-1~5(2016).
  2. A. Chanthaphan, Y. Katsu, T. Hosoi, T. Shimura, and H. Watanabe,
    "Insight into metal-enhanced oxidation using barium on 4H-SiC surfaces,"
    Japanese Journal of Applied Physics, 55, pp 120303-1~4(2016).
  3. S. Yoshida, D. Lin, A. Vais, A. Alian, J. Franco, S. El Kazzi, Y. Mols, Y. Miyanami, M. Nakazawa, N. Collaert, H. Watanabe, and A. Thean,
    "Systematic study of interfacial reactions induced by metal electrodes in high-k/InGaAs gate stacks,"
    Applied Physics Letters, 109, (17)172101-1~5(2016).
  4. M. Nozaki, J. Ito, R. Asahara, S. Nakazawa, M. Ishida, T. Ueda, A. Yoshigoe, T. Hosoi, T. Shimura, and H. Watanabe,
    "Synchrotron radiation X-ray photoelectron spectroscopy of Ti/Al ohmic contacts to n-type GaN: Key role of Al capping layers in interface scavenging reactions,"
    Applied Physics Express, 9, pp 105801-1~4(2016).
  5. R. Asahara, M. Nozaki, T. Yamada, J. Ito, S. Nakazawa, M. shida, T. Ueda, A. Yoshigoe. T. Hosoi, T. Shimura, and H. Watanabe,
    "Effect of nitrogen incorporation into Al-based gate insulators in AlON/AlGaN/GaN metal–oxide–semiconductor structures,"
    Applied Physics Express, 9, pp 101002-1~4(2016).
  6. D. Mori, H. Oka, T. Hosoi, K. Kawai, M. Morita, E. J. Crumlin, Z. Liu, H. Watanabe, and K. Arima,
    "Comparative study of GeO2/Ge and SiO2/Si structures on anomalous charging of oxide films upon water adsorption revealed by ambient-pressure X-ray photoelectron spectroscopy,"
    J. Appl. Phys., 120, (9) 095306-1~10(2016).
  7. T. Takeuchi a, K. Tatsumura, T. Shimura, and I. Ohdomari,
    "Analysis of X-ray diffraction curves of trapezoidal Si nanowires with a strain distribution,"
    Thin Solid Films, 612, pp.116~121(2016).
  8. S. Yoshida, S. Taniguchi, H. Minari, D. Lin, T. Ivanov, H. Watanabe, M. Nakazawa, N. Collaert, and A. Thean,
    "The impact of energy barrier height on border traps in the metal insulator semicondoctor gate stacks on III–V semiconductors,"
    Japanese Journal of Applied Physics, 55, pp 08PC01-1~4(2016).
  9. H. Shirakawa, K. Kamiya, M. Araidai, H. Watanabe, and K. Shiraishi,
    "Origin of the unidentified positive mobile ions causing the bias temperature instability in SiC MOSFETs and their diffusion process,"
    Applied Physics Express, 9, pp 064301-1~3(2016).
  10. Y. Katsu, T. Hosoi, Y. Nanen, T. Kimoto, T. Shimura, and H. Watanabe,
    "Impact of NO annealing on flatband voltage instability due to charge trapping in SiC MOS devices,"
    Materials Science Forum, 858, pp 599-602(2016).
  11. A. Chanthaphan, Y. Fukushima, K. Yamamoto, M. Aketa, H. Asahara, T. Nakamura, T. Hosoi, T. Shimura, and H. Watanabe,
    "Cathodoluminescence study of SiO2/4H-SiC structures treated with high-temperature post-oxidation annealing,"
    Materials Science Forum, 858, pp 445-448(2016).
  12. A. Chanthaphan, Y. Cheng, T. Hosoi, T. Shimura, and H. Watanabe,
    "Improvement of SiO2/4H-SiC interface quality by post-oxidation annealing in N2 at high-temperatures,"
    Materials Science Forum, 858, pp 627-630(2016).
  13. T. Hosoi, S. Azumo, K. Yamamoto, M. Aketa, Y. Kashiwagi, S. Hosaka, H. Asahara, T. Nakamura, T. Shimura and H. Watanabe,
    "Flatband Voltage Shift Depending on SiO2/SiC Interface Charges in 4H-SiC MOS Capacitors with AlON/SiO2 Stacked Gate Dielectrics,"
    Materials Science Forum, 858, pp 681-684(2016).
  14. S. Kimura, K. Kajiwara, and T. Shimura,
    "Development of a compact compression test stage for synchrotron radiation micro-Laue diffraction measurements of long-period stacking-ordered phases in Mg–Zn–Y alloys,"
    Jpn. J. Appl. Phys., 55, (3)038002(2016).

ページの先頭へ戻る

国際会議 / International Conferences

  1. H. Oka, M. Koyama, T. Tomita, T. Amamoto, K. Tominaga, S. Tanaka, T. Hosoi, T. Shimura, and H. Watanabe,
    "High-mobility TFT and Enhanced Luminescence Utilizing Nucleation-controlled GeSn Growth on Transparent substrate for Monolithic Optoelectronic Integrationy,"
    2016 IEEE International Electron Devices Meeting (IEDM), 22.1,
    (San Francisco, CA, December 3-7, 2016).
  2. T. Shimura, M. Matsue, K. Tominaga, K. Kajimuira, T. Amamoto, T. Hosoi, and H. Watanabe,
    "Photoluminescence from n-type tensile-strained Ge and GeSn wires on an insulator fabricated by lateral liquid-phase epitaxy,"
    The 7th International Symposium on Advanced Science and Technology of Silicon Materials, O-05,
    (Kona, HI, November 21-25, 2016).
  3. H. Watanabe, R. Asahara, J. Ito, K. Watanabe, M. Nozaki, T. Yamada, S. Nakazawa, Y. Anda, M. Ishida, T. Ueda, A. Yoshigoe, T. Hosoi, and T. Shimura,
    "Gate Stack Technology for Advanced AlGaN/GaN Mos-Hemt Power Devices," Invited
    Pacific Rim Meeting on Electrochemical and Solid-state Science (PRiMe 2016), 1815,
    (Honolulu, HI, October 2-7, 2016).
  4. T. Hosoi, D. Nagai, M. Sometani, T. Shimura, M. Takei, and H. Watanabe,
    "Ultrahigh-tempearture Oxidation of 4H-SiC(0001) and an Impact of Cooling Process on SiO2/SiC Interface Properties," Invited
    11th European Conference on Silicon Carbide and Related Materials (ECSCRM 2016), We1.01,
    (Halkidiki, Greece, September 25-29, 2016).
  5. A. Chanthaphan, Y. Katsu, T. Hosoi, T. Shimura, and H. Watanabe,
    "Structure and Surface Morphology of Thermal SiO2 Grown on 4H-SiC by Metal-enhanced Oxidation using Barium,"
    11th European Conference on Silicon Carbide and Related Materials (ECSCRM 2016), Th1.03,
    (Halkidiki, Greece, September 25-29, 2016).
  6. M. Sometani, D. Nagai, T. Hosoi, T. Shimura, Y. Yonezawa, M. Takei, and H. Watanabe,
    "Impact of Rapid Cooling Process in Ultra-high-temperature Oxidation of 4H-SiC(0001),"
    2016 International Conference on Solid State Devices and Materials (SSDM 2016),
    (Tsukuba International Congless Center, Tsukuba, Japan, September 26-29, 2016).
  7. T. Hosoi,
    "Gate stack technology for silicon carbide based metal-oxide-semiconductor devices," Invited
    International Conference on Processing & Manufacturing of advanced Materials (THERMEC’2016), 395,
    (Graz, Austria, May 29-June 3, 2016).
  8. H. Watanabe,
    "High-mobility GeSn-based MOSFETs on Transparent Substrates," Invited
    International SiGe Technology and Device Meeting(ISTDM 2016),
    (Noyori Conference Hall, Nagoya University, Nagoya, Japan, June 7-11, 2016).
  9. H. Oka, T. Amamoto, T. Hosoi, T. Shimura, H. Watanabe,
    "7.2 High-mobility GeSn p-MOSFETs on Transparent Substrate Utilizing Nucleation-controlled Liquid-phase Crystallization,"
    IEEE Silicon Nanoelectronics Workshop 2016 (SNW 2016),
    (Honolulu, HI, USA, June 12-13, 2016).

ページの先頭へ戻る

国内学会 / Domestic Conferences

  1. 渡部 平司, 志村 考功, 細井 卓治,
    "先進パワーデバイスにおける新規ゲート絶縁膜開発-SiC 及びGaN 基板上MOS 構造形成技術の類似点と相違点-(招待講演),"
    先進パワー半導体分科会 第3回講演会, VI-2, pp.34-35,
    (つくば国際会議場), November 8-9, 2016).
  2. 細井 卓治, 勝 義仁, Atthawut Chanthaphan, 志村 考功, 渡部 平司,
    "SiO2/SiC 界面窒化処理を施したSiC-MOS デバイスにおける正孔捕獲挙動に関する考察,"
    先進パワー半導体分科会 第3回講演会, P-104, pp.252-253,
    (つくば国際会議場), November 8-9, 2016).
  3. 山田 高寛, 渡邉 健太, 野崎 幹人, 吉越 章隆, 細井 卓治, 志村 考功, 渡部 平司,
    "SiO2/GaN 構造の熱酸化処理による極薄GaOx 界面層形成とMOS 界面特性向上,"
    先進パワー半導体分科会 第3回講演会, V-3, pp.30-31,
    (つくば国際会議場), November 8-9, 2016).
  4. 勝 義仁, 辻 英徳, 細井 卓治, 志村 考功, 渡部 平司,
    "超高温・低酸素分圧ゲート酸化で作製したSiC-MOSFET の電気特性評価,"
    先進パワー半導体分科会 第3回講演会, P-99, pp.242-243,
    (つくば国際会議場), November 8-9, 2016).
  5. 渡邉 健太, 野崎 幹人, 山田 高寛, 中澤 敏志, 按田 義治, 石田 昌宏, 上田 哲三, 吉越 章隆, 細井 卓治, 志村 考功, 渡部 平司,
    "AlGaN/GaN MOS-HFET におけるAl 系ゲート絶縁膜の界面反応制御,"
    先進パワー半導体分科会 第3回講演会, P-101, pp.246-247,
    (つくば国際会議場), November 8-9, 2016).
  6. 染谷 満, 永井 大介, 勝 義仁, 細井 卓治, 志村 考功, 武井 学, 米澤 喜幸, 渡部 平司,
    "超高温酸化と水冷プロセスによるSiC-MOS 界面の特性改善,"
    先進パワー半導体分科会 第3回講演会, P-101, pp.240-241,
    (つくば国際会議場), November 8-9, 2016).
  7. 有馬 健太, 森 大地, 岡 博史, 細井 卓治, 川合 健太郎, Zhi Liu, 渡部 平司, 森田 瑞穂,
    "水分子が吸着したGeO2/Ge 及びSiO2/Si 構造のAP-XPS スペクトルの比較,"
    2016年秋季 第77回応用物理学関係連合講演会予稿集, 15p-B2-2,
    (朱鷺メッセ, 新潟県新潟市), September 13-16, 2016).
  8. 山田 高寛, 渡邉 健太, 野崎 幹人, 細井 卓治, 志村 考功, 渡部 平司,
    "GaN 表面の熱酸化におけるキャップ層の効果,"
    2016年秋季 第77回応用物理学関係連合講演会予稿集, 16p-B1-4,
    (朱鷺メッセ, 新潟県新潟市), September 13-16, 2016).
  9. 山田 高寛, 渡邉 健太, 野崎 幹人, 吉越 章隆, 細井 卓治, 志村 考功, 渡部 平司,
    "熱酸化処理によるSiO2/GaN 界面でのGaOx 形成とMOS 界面特性向上,"
    2016年秋季 第77回応用物理学関係連合講演会予稿集, 16p-B1-5,
    (朱鷺メッセ, 新潟県新潟市), September 13-16, 2016).
  10. 勝 義仁, 辻 英徳, 細井 卓治, 志村 考功, 渡部 平司,
    "理想SiO2/SiC界面の実現に向けた超高温・低酸素分圧酸化の検討,"
    2016年秋季 第77回応用物理学関係連合講演会予稿集, 16p-C302-6,
    (朱鷺メッセ, 新潟県新潟市), September 13-16, 2016).
  11. 冨田 崇史, 岡 博史, 小山 真広, 田中 章吾, 細井 卓治, 志村 考功, 渡部 平司,
    "SbドープアモルファスGeの局所溶融横方向液相エピタキシャル成長によるn型Ge細線の作製と評価,"
    2016年秋季 第77回応用物理学関係連合講演会予稿集, 15a-P8-2,
    (朱鷺メッセ, 新潟県新潟市), September 13-16, 2016).
  12. 細野 凌, 佐野 壱成, 川端 智樹, 林田 清, 土岐 貴弘, 細井 卓治, 渡部 平司, 志村 考功,
    "振幅格子とピクセル検出器を用いたエネルギー分解X 線位相イメージングの検討,"
    2016年秋季 第77回応用物理学関係連合講演会予稿集, 13p-C31-9,
    (朱鷺メッセ, 新潟県新潟市), September 13-16, 2016).
  13. 渡邉 健太, 野崎 幹人,山田 高寛,中澤 敏志, 按田 義治, 石田 昌宏,上田 哲三, 細井 卓治, 志村 考功, 渡部 平司,
    "AlGaN/GaN MOS-HEMT電気特性劣化のゲート絶縁膜成膜温度依存性の検証,"
    2016年秋季 第77回応用物理学関係連合講演会予稿集, 16a-B1-10,
    (朱鷺メッセ, 新潟県新潟市), September 13-16, 2016).
  14. 細井 卓治, A. Chanthaphan, 勝 義仁, 志村 孝功, 渡部 平司,
    "SiO2/SiC界面への異種元素添加による界面準位低減とその留意点,"
    先進パワー半導体分科会 第2回個別討論会テキスト, vol.02, No.01, p.p.5-20,
    (ABC貸会議室 3階第三会議室), August 1, 2016).
  15. 小川 慎吾, 淺原 亮平, 箕浦 佑也, 迫 秀樹, 川崎 直彦, 山田 一子, 宮本 隆志, 細井 卓治, 志村 考功, 渡部 平司,
    "HfO2/GeO2/Ge スタック構造におけるゲルマニウムと酸素の熱拡散に関する研究,"
    2016年春季 第63回応用物理学関係連合講演会予稿集, 19p-P3-1,
    (東京工業大学 大岡山キャンパス), March 19-22, 2016).
  16. 山田 高寛, 伊藤 丈予, 淺原 亮平, 渡邉 健太, 野崎 幹人, 中澤 敏志, 按田 義治, 石田 昌宏, 上田 哲三, 吉越 章隆, 細井 卓治, 志村 考功, 渡部 平司,
    "低欠陥密度GaN基板の熱酸化過程の評価,"
    2016年春季 第63回応用物理学関係連合講演会予稿集, 22a-W541-4,
    (東京工業大学 大岡山キャンパス), March 19-22, 2016).
  17. 山田 高寛, 伊藤 丈予, 淺原 亮平, 渡邉 健太, 野崎 幹人, 細井 卓治, 志村 考功, 渡部 平司,
    "GaN表面熱酸化処理によるMOS界面特性の向上,"
    2016年春季 第63回応用物理学関係連合講演会予稿集, 22a-W541-5,
    (東京工業大学 大岡山キャンパス), March 19-22, 2016).
  18. 野崎 幹人, 淺原 亮平, 伊藤 丈予, 渡邉 健太, 山田 高寛, 中澤 敏志, 按田 義治, 石田 昌宏, 上田 哲三, 細井 卓治, 志村 考功, 渡部 平司,
    "スパッタ成膜したアルミナ系絶縁膜/AlGaN界面のTEM観察,"
    2016年春季 第63回応用物理学関係連合講演会予稿集, 21p-W541-2,
    (東京工業大学 大岡山キャンパス), March 19-22, 2016).
  19. 染谷 満, 永井 大介, 細井 卓治, 志村 考功, 渡部 平司,
    "超高温酸化と急速冷却によるSiC-MOS 界面特性改善,"
    2016年春季 第63回応用物理学関係連合講演会予稿集, 20p-H101-10,
    (東京工業大学 大岡山キャンパス), March 19-22, 2016).
  20. A, Chanthaphan, Y, Katsu, T, Hosoi, T, Shimura and H, Watanabe,
    "Thermal oxidation enhancement using barium on 4H-SiC(0001) substrates,"
    2016年春季 第63回応用物理学関係連合講演会予稿集, 20p-H101-1,
    (東京工業大学 大岡山キャンパス), March 19-22, 2016).
  21. 永井 大介, 染谷 満, 細井 卓治, 志村 考功, 渡部 平司,
    "広温度範囲にわたる4H-SiC熱酸化反応の面方位依存性の検証,"
    2016年春季 第63回応用物理学関係連合講演会予稿集, 20p-H101-11,
    (東京工業大学 大岡山キャンパス), March 19-22, 2016).
  22. 勝 義仁, チャンタパン アタウット, 細井 卓治, 志村 考功, 渡部 平司,
    "SiC MOSデバイスにおけるゲートリーク伝導機構と正孔捕獲挙動の相関,"
    2016年春季 第63回応用物理学関係連合講演会予稿集, 20p-H101-13,
    (東京工業大学 大岡山キャンパス), March 19-22, 2016).
  23. 小山 真広, 岡 博史, 天本 隆史, 冨永 幸平, 田中 章吾, 細井 卓治, 志村 孝功, 渡部 平司,
    "局所溶融液相成長による石英基板上単結晶GeSn層形成とトランジスタ応用,"
    2016年春季 第63回応用物理学関係連合講演会予稿集, 20p-S423-1,
    (東京工業大学 大岡山キャンパス), March 19-22, 2016).
  24. 田中 章吾, 岡 博史, 冨永 幸平, 天本 隆史, 小山 真広, 細井 卓治, 志村 考功, 渡部 平司,
    "ストレスライナーによるGe細線への一軸引張歪み印加とバンドギャップ変調,"
    2016年春季 第63回応用物理学関係連合講演会予稿集, 20p-S621-11,
    (東京工業大学 大岡山キャンパス), March 19-22, 2016).
  25. 細野 凌, 森本 直樹, 伊藤 康浩, 山崎 周, 佐野 壱成, 土岐 貴弘, 佐野 哲, 細井 卓治, 渡部 平司, 志村 考功,
    "マイクロフォーカスX線源と振幅格子を用いたX線位相イメージングの検討,"
    2016年春季 第63回応用物理学関係連合講演会予稿集, 19p-H137-8,
    (東京工業大学 大岡山キャンパス), March 19-22, 2016).
  26. 渡邉 健太, 淺原 亮平, 伊藤 丈予, 野崎 幹人, 山田 高寛, 中澤 敏志, 按田 義治, 石田 昌宏, 上田 哲三, 細井 卓治, 志村 考功, 渡部 平司,
    "AlGaN/GaN MOS-HEMT におけるSiO2/AlON 積層ゲート絶縁膜の検討,"
    2016年春季 第63回応用物理学関係連合講演会予稿集, 21p-W541-3,
    (東京工業大学 大岡山キャンパス), March 19-22, 2016).
  27. 岡 博史, 天本 隆史, 小山 真広, 冨永 幸平, 細井 卓治, 志村 考功, 渡部 平司,
    "局所急速加熱による自己核形成を利用した石英基板上GeSn単結晶成長,"
    応用物理学会 薄膜・表面物理分科会・シリコンテクノロジー分科会共催特別研究会「電子デバイス界面テクノロジー研究会―材料・プロセス・デバイス特性の物理―」(第21回研究会),pp. 161-164,
    (東レ研修センター, 静岡県三島市, January 21-23, 2016).
  28. 冨永 幸平, 岡 博史, 天本 隆史, 細井 卓治, 志村 考功, 渡部 平司,
    "横方向液相エピタキシャル成長法によって作製したGeSn-on-insulatorの電気特性評価,"
    応用物理学会 薄膜・表面物理分科会・シリコンテクノロジー分科会共催特別研究会「電子デバイス界面テクノロジー研究会―材料・プロセス・デバイス特性の物理―」(第21回研究会),pp. 25-28,
    (東レ研修センター, 静岡県三島市, January 21-23, 2016).
  29. 伊藤 丈予, 淺原 亮平, 野崎 幹人, 山田 高寛, 中澤 敏志, 石田 昌宏, 上田 哲三 (パナソニック), 吉越 章隆 (原子力機構), 細井 卓治, 志村 考功, 渡部 平司,
    "GaN熱酸化における酸化物形成過程,"
    応用物理学会 薄膜・表面物理分科会・シリコンテクノロジー分科会共催特別研究会「電子デバイス界面テクノロジー研究会―材料・プロセス・デバイス特性の物理―」(第21回研究会),pp. 177-180,
    (東レ研修センター, 静岡県三島市, January 21-23, 2016).
  30. 田中 章吾, 岡 博史, 天本 隆史, 冨永 幸平, 小山 真広, 細井 卓治, 志村 考功, 渡部 平司,
    "トップダウン加工によるGeへの引張歪み印加とバンドギャップ変調,"
    応用物理学会 薄膜・表面物理分科会・シリコンテクノロジー分科会共催特別研究会「電子デバイス界面テクノロジー研究会―材料・プロセス・デバイス特性の物理―」(第21回研究会),pp. 137-140,
    (東レ研修センター, 静岡県三島市, January 21-23, 2016).

ページの先頭へ戻る

解説 / Reviews

   

ページの先頭へ戻る