研究成果

研究業績受賞歴

年度:2020 | 2019 | 2018 | 2017 | 2016 | 2015 | 2014 | 2013 | 2012 | 2011 | 2010 | 2009 | 2008 | 2007 | 2006 | 2005 | 2004

2010年度研究成果

学術論文 / Journal Papers

  1. T. Shimura, Y. Okamoto, D. Shimokawa, T. Inoue, T. Hosoi, and H. Watanabe,
    "Interface Reaction and Rate Enhancement of SiGe Thermal Oxidation,"
    ECS Transactions, 33, (6) 893-899 (2010).
  2. K. Shiraishi, T. Hosoi, H. Watanabe, and K. Yamada,
    "Control of Gate Metal Effective Work Functions and Interface Layer Thickness by Designing Interface Thermodynamics Based on Heteroatom Incorporation into High-k HfO2 Gate Dielectrics,"
    ECS Transactions, 33, (6) 479-486 (2010).
  3. T. Shimura, S. Ogiwara, C. Yoshimoto, T. Hosoi, and H. Watanabe,
    "Fabrication of Fully Relaxed SiGe Layers with High Ge Concentration on Silicon-on-Insulator Wafers by Rapid Melt Growth,"
    Appl. Phys. Express, 3, 105501 (2010).
  4. T. Shimura, D. Shimokawa, T. Inoue, T. Hosoi, H. Watanabe, O. Sakata, and M. Umeno,
    "Thermal Stability and Electron Irradiation Damage of Ordered Structure in the Thermal Oxide Layer on Si,"
    J. Electrochem. Soc., 157, (10) H977-H981 (2010).
  5. T. Ando, M. M. Frank, K. Choi, C. Choi, R. Haight, M. Copel, H. Arimura, H. Watanabe, and V. Narayanan,
    "Ultimate EOT Scaling (<5Å) Using Hf-Based High-k Gate Dielectrics and Impact on Carrier Mobility," Invited
    ECS Transactions, 28, (1) 115-123 (2010).
  6. T. Ando, M. Copel, J. Bruley, M. M. Frank, H. Watanabe, and V. Narayanan,
    "Physical origins of mobility degradation in extremely scaled SiO2 /HfO2 gate stacks with La and Al induced dipoles,"
    Appl. Phys. Lett., 96, (13) 132904 (2010).
  7. H. Arimura, R. Haight, S. L. Brown, A. Kellock, A. Callegari, M. Copel, H. Watanabe, V. Narayanan, and T. Ando,
    "Temperature-dependent La- and Al-induced dipole behavior monitored by femtosecond pump/probe photoelectron spectroscopy,"
    Appl. Phys. Lett., 96, (13) 132902 (2010).
  8. H. Arimura, Y. Oku, M. Saeki, N. Kitano, T. Hosoi, T. Shimura, and H. Watanabe,
    "Fabrication of advanced La-incorporated Hf-silicate gate dielectrics using physical-vapor-deposition-based in situ method and its effective work function modulation of metal/high-k stacks,"
    J. Appl. Phys., 107, (3) 034104 (2010).
  9. T. Shimura, Y. Okamoto, T. Inoue, T. Hosoi, and H. Watanabe,
    "Residual order in the thermal oxide of a fully strained SiGe alloy on Si,"
    Phys. Rev. B, 81, (3) 033308 (2010).
  10. T. Ando, T. Hirano, K. Tai, S. Yamaguchi, S. Yoshida, H. Iwamoto, S. Kadomura, and H. Watanabe,
    "Low Threshold Voltage and High Mobility N-Channel Metal-Oxide-Semiconductor Field-Effect Transistor Using Hf-Si/HfO2 Gate Stack Fabricated by Gate-Last Process,"
    Jpn. J. Appl. Phys., 49, 016502 (2010).
  11. Y. Kagei, T. Kirino, Y. Watanabe, S. Mitani, Y. Nakano, T. Nakamura, T. Hosoi, T. Shimura, and H. Watanabe,
    "Improved electrical properties of SiC-MOS interfaces by thermal oxidation of plasma nitrided 4H-SiC(0001) surfaces,"
    Mater. Sci. Forum, 645-648, 507-510 (2010).
  12. K. Kozono, T. Hosoi, Y. Kagei, T. Kirino, S. Mitani, Y. Nakano, T. Nakamura, T. Shimura, and H. Watanabe,
    "Direct Observation of Dielectric Breakdown Spot in Thermal Oxides on 4H-SiC(001) Using Conductive Atomic Force Microscopy,"
    Mater. Sci. Forum, 645-648, 821-824 (2010).
  13. T. Hosoi, Y. Kagei, T. Kirino, Y. Watanabe, K. Kozono, S. Mitani, Y. Nakano, T. Nakamura, and H. Watanabe,
    "Improved Characteristics of 4H-SiC MISFET with AION/Nitrided SiO2 Stacked Gate Dielectrics,"
    Mater. Sci. Forum, 645-648, 991-994 (2010).

ページの先頭へ戻る

国際会議/ International Conferences

  1. Y. Suzuki, S. Ogiwara, T. Hosoi, T. Shimura, and H. Watanabe,
    "High-mobility Ge-on-insulator p-channel MOSFETs fabricated by lateral liquid-phase epitaxy,"
    42nd IEEE Semiconductor Interface Specialists Conference (SISC), Session4, P.37,
    (Arlington, VA, USA, November 30, 2011 - December 3, 2011).
  2. N. Zettsu, T. Hosoi, S. Matsuura, A. Watanabe, and H. Watanabe,
    "Autonomous Liquid-phase Nanoscale Processing for the Large-area Fabrication of Nanoparticle-based Parallel Device Arrays,"
    2010 MRS Fall Meeting, Y13.20,
    (Boston, MA, USA, November 29, 2010 - December 3, 2010).
  3. T. Hashimoto, N. Zettsu, B. Zheng, M. Fukuta, K. Gamo, I. Yamashita, Y. Uraoka, and H. Watanabe,
    "Ferritin Protein-base Versatile Encapsulation/Transport System for Selective Nanoscale Positioning of Targeted Plasmonic Au Nanoparticles,"
    2010 MRS Fall Meeting, Y11.10,
    (Boston, MA, USA, November 29, 2010 - December 3, 2010).
  4. K. Kutsuki, A. Kasuya, I. Hideshima, T. Hosoi, T. Shimura, and H. Watanabe,
    "Impact of Plasma Nitridation On Electrical properties and Thermal Stability of Ultrathin Thermal GeO2 on Ge(100),"
    41st IEEE Semiconductor Interface Specialists Conference (SISC), Session6, 6.5,
    (San Diego, CA, USA, December 02-04, 2010).
  5. T. Kirino, Y. Kagei, A. Yoshigoe, Y. Teraoka, S. Mitani, Y. Nakano, T. Nakamura, T. Hosoi, T. Shimura, and H. Watanabe,
    "Energy Band Structure of Thermally Grown SiO2/4H-SiC Interfaces and its Modulation Induced by Post-oxidation Treatments,"
    41st IEEE Semiconductor Interface Specialists Conference (SISC), Session4, P.47,
    (San Diego, CA, USA, December 02-04, 2010).
  6. T. Hosoi, G. Okamoto, I. Hideshima, A. Kasuya, K. Kutsuki, J. Harries, A. Yoshigoe, Y. Teraoka, T. Shimura, and H. Watanabe,
    "Interfacial Design of High-k/Ge Gate Stacks with ZrO2 Dielectrics for Scaled Ge-based MOS devices,"
    41st IEEE Semiconductor Interface Specialists Conference (SISC), Session1, P.2,
    (San Diego, CA, USA, December 02-04, 2010).
  7. M. Saeki, H. Arimura, N. Kitano, T. Hosoi, T. Shimura, and H. Watanabe,
    "Passivation of High-k Bulk and Interface Defects by Incorporating La into Hf-silicate and its Impact on Carrier Mobility,"
    41st IEEE Semiconductor Interface Specialists Conference (SISC), Session1, P.1,
    (San Diego, CA, USA, December 02-04, 2010).
  8. T. Ando, H. Arimura, R. Haight, M. Copel, H. Watanabe, and V. Nrayanan,
    "Kinetics of interfacial layer scavenging and dipole formation for ultimate scaling of Hf-based high-k gate dielectrics,"
    41st IEEE Semiconductor Interface Specialists Conference (SISC), Session1, 1.2,
    (San Diego, CA, USA, December 02-04, 2010).
  9. Y. Uenishi, K. Kozono, S. Mitani, Y. Nakano, T. Nakamura, T. Hosoi, T. Shimura, and H. Watanabe,
    "Investigation of Correlation between Thermally Grown SiO2 Thickness Fluctuation and Local Dielectric Breakdown in 4H-SiC MOS Devices,"
    Ext. Abst. of Third International Symposium on Atomically Controlled Fabrication Technology, pp.200-201,
    (Osaka University Nakanoshima Center, Osaka, Japan, November 24-26, 2010).
  10. T. Kirino, Y. Kagei, A. Yoshigoe, Y. Teraoka, S. Mitani, Y. Nakano, T. Nakamura, T. Hosoi, T. Shimura, and H. Watanabe,
    "Modulation on Thermally Grown SiO2/4H-SiC Energy Band Structure Depending on Surface Orientation,"
    Ext. Abst. of Third International Symposium on Atomically Controlled Fabrication Technology, pp.192-193,
    (Osaka University Nakanoshima Center, Osaka, Japan, November 24-26, 2010).
  11. T. Hosoi, Y. Kagei, T. Kirino, S. Mitani, Y. Nakano, T. Nakamura, T. Shimura, and H. Watanabe,
    "Impact of Nitrogen Incorporation into A12O3 Gate Dielectrics on Flatband Voltage Stability in 4H-SiC MIS Devices,"
    Ext. Abst. of Third International Symposium on Atomically Controlled Fabrication Technology, pp.182-183,
    (Osaka University Nakanoshima Center, Osaka, Japan, November 24-26, 2010).
  12. T. Hosoi, G. Okamoto, K. Kutsuki, J. Harries, A. Yoshigoe, Y. Teraoka, T. Shimura, and H. Watanabe,
    "Excellent Electrical Property of Ge-MIS Devices with ZrO2 High-k Gate Dielectrics,"
    Ext. Abst. of Third International Symposium on Atomically Controlled Fabrication Technology, pp.128-129,
    (Osaka University Nakanoshima Center, Osaka, Japan, November 24-26, 2010).
  13. K. Gamo, M. Fukuta, T. Hashimoto, B. Zheng, N. Zettsu, I. Yamashita, Y. Uraoka, and H. Watanabe,
    "Critical Titanium Coverage on SiO2 for Selective Adsorption of Ti-binding Ferritin,"
    Ext. Abst. of Third International Symposium on Atomically Controlled Fabrication Technology, pp.120-121,
    (Osaka University Nakanoshima Center, Osaka, Japan, November 24-26, 2010).
  14. T. Hashimoto, B. Zheng, M. Fukuta, K. Gamo, N. Zettsu, I. Yamashita, Y. Uraoka, and H. Watanabe,
    "Selective Transportaion of Gold Nanoparticles Encapsulated with TFG Subunit Dimers and Their Plasmonic Characteristics,"
    Ext. Abst. of Third International Symposium on Atomically Controlled Fabrication Technology, pp.118-119,
    (Osaka University Nakanoshima Center, Osaka, Japan, November 24-26, 2010).
  15. T. Shimura, Y. Okamoto, D. Shimokawa, T. Inoue, T. Hosoi, and H. Watanabe,
    "Residual Order and Rate Enhancement of SiGe Thermal Oxidation,"
    Ext. Abst. of Third International Symposium on Atomically Controlled Fabrication Technology, pp.112-113,
    (Osaka University Nakanoshima Center, Osaka, Japan, November 24-26, 2010).
  16. S. Ogiwara, C. Yoshimoto, T. Hosoi, T. Shimura, and H. Watanabe,
    "Fabricatrion of High-quality SiGe-on-insulator Structures by Rapid Melt Growth,"
    Ext. Abst. of Third International Symposium on Atomically Controlled Fabrication Technology, pp.100-101,
    (Osaka University Nakanoshima Center, Osaka, Japan, November 24-26, 2010).
  17. M. Saeki, H. Arimura, N. Kitano, T. Hosoi, T. Shimura, and H. Watanabe,
    "Investigation of High-k Bulk and Interface Defects in Poly-Si/TiN/HfLaSiO/SiO2 Stacks using Charge Pumping Technique,"
    Ext. Abst. of Third International Symposium on Atomically Controlled Fabrication Technology, pp.98-99,
    (Osaka University Nakanoshima Center, Osaka, Japan, November 24-26, 2010).
  18. T. Yamamoto, S. Ogawa, H. Arimura, M. Saeki, N. Kitano, T. Hosoi, T. Shimura, and H. Watanabe,
    "Investigation of Structural Change in TiN/HfLaSiO Gate Stack Induced by High-temperature Annealing,"
    Ext. Abst. of Third International Symposium on Atomically Controlled Fabrication Technology, pp.96-97,
    (Osaka University Nakanoshima Center, Osaka, Japan, November 24-26, 2010).
  19. N. Zettsu, S. Matsuura, A. Watanabe, K. Yamamura, T. Hosoi, and H. Watanabe,
    "Fabrication of Shape Controlled Metal Nanodot Arrays by Autonomous Liquid-phase Nanoscale Processing as well as Their Charge Injection Characteritics for Floating Nanodot Gate Memory,"
    Ext. Abst. of Third International Symposium on Atomically Controlled Fabrication Technology, pp.42-43,
    (Osaka University Nakanoshima Center, Osaka, Japan, November 24-26, 2010).
  20. H. Arimura, T. Ando, S. L. Brown, A. Kellock, A. Callegari, M. Copel, R. Haight, H. Watanabe, and V. Narayanan,
    "Impact of La and A1 Composition Ratio on the Electrical Properties of La-A1-O Higher-k Gate Dielectrics,"
    Ext. Abst. of Third International Symposium on Atomically Controlled Fabrication Technology, pp.26-27, pp. 114-115,
    (Osaka University Nakanoshima Center, Osaka, Japan, November 24-26, 2010).
  21. K. Kutuki, I. Hideshima, T. Hosoi, T. Shimura, and H. Watanabe,
    "Thermal Robustness and Improved Electrical Properties of Ultrathin Germanium Oxynitride Gate Dielectric,"
    Ext. Abst. of Third International Symposium on Atomically Controlled Fabrication Technology, pp.22-23, pp.116-117,
    (Osaka University Nakanoshima Center, Osaka, Japan, November 24-26, 2010).
  22. H. Watanabe, K. Kutsuki, I. Hideshima, G. Okamoto, T. Hosoi, and T. Shimura,
    "High-quality GeON Gate Dielectrics formed by Plasma Nitridation of Ultrathin Thermal Oxides on Ge(100)," invited
    10th IEEE International Conference on Solid-State and Integrated Circuit Technology (ICSICT), Program ID 105_11,
    (Shanghai,China, November 01-04, 2010).
  23. T. Shimura, Y. Okamoto, D. Shimokawa, T. Inoue, T. Hosoi, and H. Watanabe,
    "Interface Reaction and Rate Enhancement of SiGe Thermal Oxidation,"
    Meet. Abstr. - 218th ECS Meeting, #1947,
    (Las Vegas, NV, USA, October 10-15, 2010).
  24. K .Shiraishi, T. Hosoi, H. Watanabe, and K. Yamada,
    "Control of Gate Metal Effective Work Functions and Interface Layer Thickness by Designing Interface Thermodynamics Based on Heteroatom Incorporation into High-k HfO2 Gate Dielectrics,"
    Meet. Abstr. - 218th ECS Meeting, #1904,
    (Las Vegas, NV, USA, October 10-15, 2010).
  25. H. Watanabe, T. Kirino, Y. Kagei, J. Harries, A. Yoshigoe, Y. Teraoka, S. Mitani, Y. Nakano, T. Nakamura, T. Hosoi, and T. Shimura,
    "Energy Band Structure of SiO2/4H-SiC Interfaces and its Modulation Induced by Intrinsic and Extrinsic Interface Charge Transfer,"
    Abstract Booklet of the 8th European Conference on Silicon Carbide and Related Materials (ECSCRM), TP-254,
    (Oslo, Norway, August 29, 2010 - September 02, 2010).
  26. T. Hosoi, Y. Kagei, T. Kirino, S. Mitani, Y. Nakano, T. Nakamura, T. Shimura, and H. Watanabe,
    "Reduction of Charge Trapping Sites in Al2O3/SiO2 Stacked Gate Dielectrics by Incorporating Nitrogen for Highly Reliable 4H-SiC MIS Devices diodes,"
    Abstract Booklet of the 8th European Conference on Silicon Carbide and Related Materials (ECSCRM), TP-129,
    (Oslo, Norway, August 29, 2010 - September 02, 2010).
  27. T. Hosoi, Kohei Kozono, Y. Uenishi, S. Mitani, Y. Nakano, T. Nakamura, T. Shimura, and H. Watanabe,
    "Investigation of surface and interface morphology of thermally grown SiO2 Dielectrics on 4H-SiC(0001) substrates,"
    Abstract Booklet of the 8th European Conference on Silicon Carbide and Related Materials (ECSCRM), TP-74,
    (Oslo, Norway, August 29, 2010 - September 02, 2010).
  28. H. Watanabe, C. Yoshimoto, T. Hashimoto, Shimpei Ogiwara, T. Hosoi, and T. Shimura,
    "Fabrication of High-Quality GOI and SGOI Structures by Rapid Melt Growth Method,"
    The Proceedings of AM-FPD'10 (17th International Workshop on Active-Matrix Flatpanel Displays and Devices -TFT Technologies and FPD Materials-), pp.53-56,
    (Tokyo Institute of Technolog, Tokyo , Japan, July 05-07, 2010).
  29. T. Hosoi, M. Saeki, Y. Oku, H. Arimura, N. Kitano, K. Shiraishi, K. Yamada, T. Shimura, and H. Watanabe,
    "Comprehensive Study and Control of Oxygen Vacancy Induced Effective Work Function Modulation in Gate-First High-k/Metal Inserted Poly-Si Stacks,"
    Symposium on VLSI Technology Digest of Technical Papers, pp. 179-180,
    (Honolulu, HI, June 15-17, 2010).
  30. H. Watanabe, G. Okamoto, K. Kutsuki, J. Harries, A. Yoshigoe, Y. Teraoka, T. Hosoi, and T. Shimura,
    "Interface Engineering of ZrO2/Ge Gate Stacks by Post-deposition Annealing and Al2O3 Capping Layers,"
    Ext. Abst. of International Symposium on Technology Evolution for Silicon Nano-Electronics (ISTESNE), p.49,
    (Tokyo Tech. Front (Kuramae Kaikan), Tokyo, Japan, June 03-05, 2010).
  31. K. Kutsuki, I. Hideshima, G. Okamoto, T. Hosoi, T. Shimura, and H. Watanabe,
    "Superior electrical properties and thermal stability of ultrathin GeON dielectrics formed by plasma nitridation of thermal oxides on Ge(100),"
    Ext. Abst. of International Symposium on Technology Evolution for Silicon Nano-Electronics (ISTESNE), p.46,
    (Tokyo Tech. Front (Kuramae Kaikan), Tokyo, Japan, June 03-05, 2010).
  32. D. Shimokawa, T. Inoue, A. Ogura, M. Umeno, T. Hosoi, T. Shimura, and H. Watanabe,
    "Synchrotron X-ray Diffraction Study of Lattice Inclination and Strain in Strained Si Wafers,"
    International Conference on Core Research and Engineering Science of Advanced Materials (Global COE Program) & Third International Conference on Nanospintronics Design and Realization, 3rd-ICNDR, PS. I-72,
    (Osaka University Convention Center, Osaka, Japan, May 30, 2010 - June 04, 2010).
  33. Y. Uenishi, K. Kozono, S. Mitani, Y. Nakano, T. Nakamura, T. Hosoi, T. Shimura, and H. Watanabe,
    "Conductive AFM study on local dielectric degradation of thermal oxides in 4H-SiC MOS devices,"
    International Conference on Core Research and Engineering Science of Advanced Materials (Global COE Program) & Third International Conference on Nanospintronics Design and Realization, 3rd-ICNDR, PS. I-71,
    (Osaka University Convention Center, Osaka, Japan, May 30, 2010 - June 04, 2010).
  34. I. Hideshima, K. Kutsuki, G. Okamoto, T. Hosoi, T. Shimura, and H. Watanabe,
    "Control of Thermally Grown GeO2/Ge MOS Characteristics - Effects of Vanuum Annealing, Capping Layers and Electrode Material -,"
    International Conference on Core Research and Engineering Science of Advanced Materials (Global COE Program) & Third International Conference on Nanospintronics Design and Realization, 3rd-ICNDR, PS. I-70,
    (Osaka University Convention Center, Osaka, Japan, May 30, 2010 - June 04, 2010).
  35. T. Ando, M. M. Frank, K. Choi, C. Choi, R. Haight, M. Copel, H. Arimura, H. Watanabe, and V. Narayanan,
    "Ultimate EOT Scaling (< 5Å) Using Hf-Based High-k Gate Dielectrics and Impact on Carrier Mobility," invited
    Meet. Abstr. - 217th ECS Meeting, #927,
    (Vancouver, Canada, April 27, 2010).
  36. H. Watanabe, H. Arimura, Y. Oku, M. Saeki, N. Kitano, T. Hosoi, and T. Shimura,
    "Fabrication of La-incorporated Hf-silicate Gate Dielectrics Using PVD-based in-situ Method and its Effective Work Function Modulation of Metal/High-k Stacks,"
    2010 MRS Spring Meeting, I4.10,
    (San Francisco, CA, USA, April 08, 2010).
  37. S. Ogawa, T. Yamamoto, G. Okamoto, K. Kutsuki, T. Hosoi, T. Shimura, and H. Watanabe,
    "Investigation of the Physical Origin of the Improved Electrical Properties of GeO2 Dielectric by Vacuum Annealing,"
    2010 MRS Spring Meeting, I3.8,
    (San Francisco, CA, USA, April 08, 2010).
  38. S. Saito, T. Hosoi, H. Watanabe, and T. Ono,
    "Oxidation Mechanism at Ge/GeO2 interfaces: An ab initio Study,"
    2010 MRS Spring Meeting, I3.8,
    (San Francisco, CA, USA, April 08, 2010).
  39. H. Arimura, T. Ando, S. L. Brown, A. Kellock, A. Callegari, M. Copel, R. Haight, H. Watanabe, and V. Narayanan,
    "Optimization of Composition Ratio in La-Al-O Gate Dielectrics for Advanced Metal/Higher-k Devices,"
    2010 MRS Spring Meeting, I1.11,
    (San Francisco, CA, USA, April 07, 2010).

ページの先頭へ戻る

国内会議/ Domestic Conferences

  1. 池口 大輔,桐野 嵩史,箕谷 周平,中野 佑紀,中村 孝,細井 卓治,志村 考功,渡部 平司,
    "紫外線照射による熱酸化 SiO2/SiC 構造中の電気的欠陥生成,"
    SiC及び関連ワイドギャップ半導体研究会 第20回講演会, P-75, pp.167-168,
    (愛知県産業労働センター(ウインクあいち), December 08-09, 2011).
  2. 渡部 平司、朽木 克博、糟谷 篤志、秀島 伊織、斉藤 正一朗、小野 倫也、細井 卓治、志村 考功,
    "ゲルマニウムMOSデバイスにおける界面設計と高移動度FETへの応用," 依頼講演
    応用物理学会北海道支部講演会,
    (北海道大学大学院情報科学研究科棟1階, December 17, 2010).
  3. 渡部 平司,
    "極限CMOS実現に向けた高機能化ゲートスタックの設計," invited
    東北大学 電気通信研究所 ナノ・スピン実験施設主催 シンポジウム「次世代集積デバイス・プロセスの展望」,
    (東北大学 電気通信研究所 ナノ・スピン実験施設カンファレンスルーム, December 14-15, 2010).
  4. 細井 卓治, 佐伯 雅之, 喜多 祐起, 奥 雄大, 有村 拓晃, 北野 尚武, 白石 賢二, 山田 啓作, 志村 考功, 渡部 平司,
    "金属電極/高誘電率絶縁膜界面の物理を中心としたHigh-k/Metalゲートスタックの実効仕事関数変調機構の理解," Invited
    電子情報通信学会 シリコン材料・デバイス研究会 (SDM), 信学技報, vol. 110, no. 274, SDM2010-175, pp. 23-28,
    (機械振興会館, 東京, November 11-12, 2010).
  5. 福田 めぐみ, 是津 信行, 渡部 平司,
    "チタン結合ペプチド選択吸着における基板の電荷分布状態の影響,"
    真空・表面科学合同講演会, 第30回表面科学学術講演会と第51回真空に関する連合講演会, 5P-109, p.348,
    (大阪大学 コンベンションセンター・体育館, November 04-06, 2010).
  6. 福西 友理恵,是津 信行,福田 めぐみ,橋元 達也,蒲 健太郎,渡部 平司,
    "Ti 認識ペプチド修飾フェリチンの選択吸着における界面活性剤の寄与,"
    真空・表面科学合同講演会, 第30回表面科学学術講演会と第51回真空に関する連合講演会, 4P-063, p.148,
    (大阪大学 コンベンションセンター・体育館, November 04-06, 2010).
  7. 蒲 健太郎, 福田 めぐみ, 橋元 達也, 鄭 彬, 是津 信行, 山下 一郎, 浦岡 行治, 渡部 平司,
    "原子層Ti被覆SiO2基板へのTi認識ペプチド修飾フェリチンの吸着特性,"
    真空・表面科学合同講演会, 第30回表面科学学術講演会と第51回真空に関する連合講演会, 4P-062, pp.147-148,
    (大阪大学 コンベンションセンター・体育館, November 04-06, 2010).
  8. 橋元 達也, 鄭 彬, 福田 めぐみ, 蒲 健太郎, 是津 信行, 山下 一郎, 浦岡 行治, 渡部 平司,
    "フェリチンタンパク質を用いた基板上への金ナノ粒子高密度選択配置とプラズモニック特性,"
    真空・表面科学合同講演会, 第30回表面科学学術講演会と第51回真空に関する連合講演会, 4P-060S, pp.145-146,
    (大阪大学 コンベンションセンター・体育館, November 04-06, 2010).
  9. 上西 悠介、小園 幸平、箕谷 周平、中野 佑紀、中村 孝、細井 卓治、志村 考功、渡部 平司,
    "SiO2/4H-SiC断面構造解析による熱酸化SiO2信頼性低下要因の評価,"
    SiC及び関連ワイドギャップ半導体研究会 第19回講演会, P-71, pp.171-172,
    (つくば国際会議場(エポカルつくば), October 21-22, 2010).
  10. 細井 卓治、桐野 嵩史、Atthawut Chanthaphan、池口 大輔、吉越 章隆、寺岡 有殿、箕谷 周平、中野 佑紀、中村 孝、志村 考功、渡部 平司,
    "SiO2/4H-SiCエネルギーバンド構造に対する界面特性改善処理の影響,"
    SiC及び関連ワイドギャップ半導体研究会 第19回講演会, P-52, pp.136-137,
    (つくば国際会議場(エポカルつくば), October 21-22, 2010).
  11. 松宮 拓也,下川 大輔,細井 卓治,志村 考功,梶原 堅太郎,陳 君,関口 隆史,渡部 平司,
    "放射光白色X線マイクロビーム回折法による太陽電池用多結晶Si中の格子歪みの解析,"
    2010年秋季 第71回応用物理学関係連合講演会予稿集, 15p-ZB-3,
    (長崎大学, September 14-17, 2010).
  12. 桐野 嵩史,Chanthaphan Atthawut,池口 大輔,吉越 章隆,寺岡 有殿,箕谷 周平,中野 佑紀,中村 孝,細井 卓治,志村 考功,渡部 平司,
    "SiO2/4H-SiC界面構造と伝導帯オフセットの相関,"
    2010年秋季 第71回応用物理学関係連合講演会予稿集, 14p-ZS-6,
    (長崎大学, September 14-17, 2010).
  13. 上西 悠介,箕谷 周平,中野 祐紀,中村 孝,細井 卓治,志村 孝功,渡部 平司,
    "SiC熱酸化膜の信頼性低下を引き起こす外的要因の検討,"
    2010年秋季 第71回応用物理学関係連合講演会予稿集, 14p-ZS-2,
    (長崎大学, September 14-17, 2010).
  14. 上西 悠介,小園 幸平,箕谷 周平,中野 佑紀,中村 孝,細井 卓治,志村 孝功,渡部 平司,
    "断面TEM及びAFMによる4H-SiC熱酸化膜の表面・界面構造評価,"
    2010年秋季 第71回応用物理学関係連合講演会予稿集, 14p-ZS-1,
    (長崎大学, September 14-17, 2010).
  15. 荻原 伸平,鈴木 雄一朗,吉本 千秋,細井 卓治,志村 考功,渡部 平司,
    "急速加熱液相エピタキシャル成長法により作製したSGOI構造のGe濃度のアニール温度依存性,"
    2010年秋季 第71回応用物理学関係連合講演会予稿集, 14p-ZQ-12,
    (長崎大学, September 14-17, 2010).
  16. 荻原 伸平,吉本 千秋,細井 卓治,志村 考功,渡部 平司,
    "急速加熱液相エピタキシャル成長法による高Ge濃度SGOI構造の作製,"
    2010年秋季 第71回応用物理学関係連合講演会予稿集, 14p-ZQ-11,
    (長崎大学, September 14-17, 2010).
  17. 今澤 孝則,東條 陽助,上沼 睦典,石河 泰明,渡部 平司,山下 一郎,浦岡 行治,
    "Niナノ粒子を用いたa-Ge薄膜の低温結晶化(*外部連携研究実績),"
    2010年秋季 第71回応用物理学関係連合講演会予稿集, 16p-ZD-3,
    (長崎大学, September 14-17, 2010).
  18. 有村 拓晃,安藤 崇志,Stephen Brown,Andrew Kellock,Alessandro Callegari,Matthew Copel,Richard Haight,渡部 平司,Vijay Narayanan,
    "Impact of thermal budget on La- and Al-induced dipole activation and its configuration studied by femtosecond pump/probe photoelectron spectroscopy,"
    2010年秋季 第71回応用物理学関係連合講演会予稿集, 16p-S-13,
    (長崎大学, September 14-17, 2010).
  19. 佐伯 雅之,有村 拓晃,北野 尚武,細井 卓治,志村 考功,渡部 平司,
    "Poly-Si/TiN/HfLaSiO MOSFETのデバイス性能に対するhigh-k膜中及びhigh-k/Si界面欠陥の影響,"
    2010年秋季 第71回応用物理学関係連合講演会予稿集, 16a-S-8,
    (長崎大学, September 14-17, 2010).
  20. 小川 慎吾,山元 隆志,須田 泰市,秀島 伊織,朽木 克博,細井 卓治,志村 考功,渡部 平司,
    "プラズマ窒化によるGeO2膜中への大気中不純物拡散の抑制,"
    2010年秋季 第71回応用物理学関係連合講演会予稿集, 15p-ZA-8,
    (長崎大学, September 14-17, 2010).
  21. 山元 隆志,小川 慎吾,有村 拓晃,佐伯 雅之,北野 尚武,細井 卓治,志村 考功,渡部 平司,
    "TiN/HfLaSiOゲートスタック構造の熱処理による化学状態変化,"
    2010年秋季 第71回応用物理学関係連合講演会予稿集, 15a-ZA-11,
    (長崎大学, September 14-17, 2010).
  22. 松浦 晋,渡辺 暁,細井 卓治,渡部 平司,是津 信行,
    "自律型液体ナノプロセスを用いたメタルナノドットの高密度集積化と高機能性フローティングナノドットメモリの開発,"
    2010年秋季 第71回応用物理学関係連合講演会予稿集, 16a-K-8,
    (長崎大学, September 14-17, 2010).
  23. 蒲 健太郎,福田 めぐみ,橋元 達也,鄭 彬,是津 信行,山下 一郎,浦岡 行治,渡部 平司,
    "Ti超薄膜上におけるTi認識ペプチド修飾フェリチンの吸着挙動,"
    2010年秋季 第71回応用物理学関係連合講演会予稿集, 15p-E-9,
    (長崎大学, September 14-17, 2010).
  24. 橋元 達也,鄭 彬,福田 めぐみ,蒲 健太郎,是津 信行,山下 一郎,浦岡 行治,渡部 平司,
    "フェリチンタンパク質を用いた基板上への金ナノ粒子の選択配置とそのプラズモニック特性,"
    2010年秋季 第71回応用物理学関係連合講演会予稿集, 15p-E-8,
    (長崎大学, September 14-17, 2010).
  25. 福田 めぐみ,是津 信行,渡部 平司,
    "チタン結合ペプチド選択吸着機構の基板種及び表面状態制御による考察,"
    2010年秋季 第71回応用物理学関係連合講演会予稿集, 15p-E-2,
    (長崎大学, September 14-17, 2010).
  26. 福西 友理恵,福田 めぐみ,蒲 健太郎,橋元 達也,是津 信行,渡部 平司,
    "Ti認識ペプチド修飾フェリチンの選択吸着におけるTween20の機能解明,"
    2010年秋季 第71回応用物理学関係連合講演会予稿集, 15p-E-1,
    (長崎大学, September 14-17, 2010).
  27. 細井 卓治、佐伯 雅之、奥 雄大、有村 拓晃、北野 尚武、白石 賢二、山田 啓作、志村 考功、渡部 平司,
    "ゲートファーストHigh-k MIPS構造の実効仕事関数制御に向けた指針,"
    応用物理学会シリコンテクノロジー分科会第127回研究集会「VLSIシンポジウム特集(先端 CMOSデバイス・プロセス技術)」, pp.9-14,
    (産業技術総合研究所臨海副都心センター別館, July 22, 2010).
  28. 福田 めぐみ,菊池 純一,渡部 平司,山下 一郎,
    "デバイス作製に適した基板汚染のないフェリチン固定基板の開発,"
    2010年春季 第57回応用物理学関係連合講演会予稿集, 19a-ZF-11,
    (東海大学, March 17-20, 2010).
  29. 有村 拓晃,安藤 崇志, Stephen Brown,Andrew Kellock,Alessandro Callegari,Matthew Copel,Richard Haight,渡部 平司,Vijay Narayanan,
    "Sub-1 nm EOT La-Al-O higher-k gate dielectrics with low leakage current using band gap engineering,"
    2010年春季 第57回応用物理学関係連合講演会予稿集, 19a-P11-17,
    (東海大学, March 17-20, 2010).
  30. 齊藤 正一朗,細井 卓治,渡部 平司,小野 倫也,
    "第一原理計算によるGe/GeO2界面欠陥の終端化材料の探索,"
    2010年春季 第57回応用物理学関係連合講演会予稿集, 18p-P9-8,
    (東海大学, March 17-20, 2010).
  31. 秀島 伊織,岡本 学,朽木 克博,細井 卓治,志村 考功,渡部 平司,
    "極薄Ge熱酸化膜のプラズマ窒化により形成したGeON膜の耐熱性評価,"
    2010年春季 第57回応用物理学関係連合講演会予稿集, 18p-P9-6,
    (東海大学, March 17-20, 2010).
  32. 朽木 克博,岡本 学,秀島 伊織,上西 悠介,桐野 嵩史,James Harries,吉越 章隆,寺岡 有殿,細井 卓治,志村 考功,渡部 平司,
    "極薄EOT実現に向けたプラズマ窒化応用high-k/Geゲートスタックの提案,"
    2010年春季 第57回応用物理学関係連合講演会予稿集, 18p-P9-5,
    (東海大学, March 17-20, 2010).
  33. 上西 悠介,小園 幸平,箕谷 周平,中野 佑紀,中村 孝,細井 卓治,志村 孝功,渡部 平司,
    "SiC基板表面形状とMOSデバイス絶縁破壊箇所の相関のC-AFM評価,"
    2010年春季 第57回応用物理学関係連合講演会予稿集, 18a-TJ-8,
    (東海大学, March 17-20, 2010).
  34. 景井 悠介,桐野 嵩史,小園 幸平,箕谷 周平,中野 佑紀,中村 孝,細井 卓治,志村 考功,渡部 平司,
    "Al2O3/SiO2積層ゲート絶縁膜への窒素添加による4H-SiC MISデバイスのフラットバンド電圧シフトの抑制,"
    2010年春季 第57回応用物理学関係連合講演会予稿集, 18a-TJ-5,
    (東海大学, March 17-20, 2010).
  35. 桐野 嵩史,景井 悠介,岡本 学,Harries James,吉越 章隆,寺岡 有殿,箕谷 周平,中野 佑紀,中村 孝,細井 卓治,渡部 平司,志村 孝功,
    "放射光XPSによるSiO2/4H-SiC構造の伝導帯オフセット評価,"
    2010年春季 第57回応用物理学関係連合講演会予稿集, 18a-TJ-2,
    (東海大学, March 17-20, 2010).
  36. 松宮 拓也,下川 大輔,細井 卓治,志村 考功,梶原 堅太郎,陳 君,関口 隆史,渡部 平司,
    "放射光X線トポグラフィによる太陽電池用多結晶Si基板中の格子歪みの観察,"
    2010年春季 第57回応用物理学関係連合講演会予稿集, 18p-TG-8,
    (東海大学, March 17-20, 2010).
  37. 秀島 伊織, 岡本 学, 朽木 克博, 細井 卓治, 志村 考功, 渡部 平司,
    "大気曝露に起因したGe-MOSキャパシタの電気特性劣化とその改善手法の提案,"
    応用物理学会 薄膜・表面物理分科会/シリコンテクノロジー分科会共催特別研究会アブストラクト集「ゲートスタック研究会 ―材料・プロセス・評価の物理―」(第15回研究会), pp. 249-252,
    (東レ総合研修センター, 静岡県三島市, January 22-23, 2010).
  38. 小野 倫也, 齋藤 正一朗, 細井 卓治, 渡部 平司,
    "Ge/GeO2界面の欠陥と電子状態の第一原理計算,"
    応用物理学会 薄膜・表面物理分科会/シリコンテクノロジー分科会共催特別研究会アブストラクト集「ゲートスタック研究会 ―材料・プロセス・評価の物理―」(第15回研究会), pp. 237-240,
    (東レ総合研修センター, 静岡県三島市, January 22-23, 2010).
  39. 細井 卓治, 岡本 学, 朽木 克博, 景井 悠介, James Harries, 吉越 章隆, 寺岡 有殿, 志村 考功, 渡部 平司,
    "ZrO2ゲート絶縁膜を用いたGe MOSデバイスの界面設計,"
    応用物理学会 薄膜・表面物理分科会/シリコンテクノロジー分科会共催特別研究会アブストラクト集「ゲートスタック研究会 ―材料・プロセス・評価の物理―」(第15回研究会), pp. 145-148,
    (東レ総合研修センター, 静岡県三島市, January 22-23, 2010).
  40. 佐伯 雅之, 有村 拓晃, 奥 雄大 , 北野 尚武, 小須田 求 , 細井 卓治, 志村 考功, 渡部 平司,
    "炭素不純物に起因したTiN/HfSiONゲートスタックの電気特性劣化現象,"
    応用物理学会 薄膜・表面物理分科会/シリコンテクノロジー分科会共催特別研究会アブストラクト集「ゲートスタック研究会 ―材料・プロセス・評価の物理―」(第15回研究会), pp. 73-76,
    (東レ総合研修センター, 静岡県三島市, January 22-23, 2010).
  41. 朽木 克博、秀島 伊織、岡本 学、細井 卓治、志村 考功、渡部 平司,
    "極薄Ge熱酸化膜の高密度プラズマ窒化により形成したGeON絶縁膜の評価,"
    応用物理学会 薄膜・表面物理分科会/シリコンテクノロジー分科会共催特別研究会アブストラクト集「ゲートスタック研究会 ―材料・プロセス・評価の物理―」(第15回研究会), pp. 43-46,
    (東レ総合研修センター, 静岡県三島市, January 22-23, 2010).

解説 / Reviews

  1. 有村 拓晃,
    "異種元素添加によるHf系高誘電率ゲート絶縁膜の高性能化に関する研究,"
    大阪大学工業会誌テクノネット, No.549(2010年7月), pp.21-26,
    (July, 2010).
  2. 渡部 平司,
    "次世代の高機能化 High-k 絶縁膜への研究開発の動き,"
    月刊 MATERIAL STAGE, 2010年5月号, pp.36-38,
    (May, 2010).
    

ページの先頭へ戻る