研究成果

研究業績受賞歴

年度:2020 | 2019 | 2018 | 2017 | 2016 | 2015 | 2014 | 2013 | 2012 | 2011 | 2010 | 2009 | 2008 | 2007 | 2006 | 2005 | 2004

2012年度研究成果

学術論文 / Journal Papers

  1. H. Watanabe, K. Kutsuki, A. Kasuya, I. Hideshima, G. Okamoto, S. Saito, T. Ono, T. Hosoi, and T. Shimura,
    "Gate stack technology for advanced high-mobility Ge-channel metal-oxide-semiconductor devices - Fundamental aspects of germanium oxides and application of plasma nitridation technique for fabrication of scalable oxynitride,"
    Current Applied Physics, 12, (3) S10-S19 (2012).
  2. T. Shimura, D. Shimokawa, T. Matsumiya, N. Morimoto, A. Ogura, S. Iida, T. Hosoi, and H. Watanabe,
    "Synchrotron X-ray topography of supercritical-thickness strained silicon-on-insulator wafers for crystalline quality evaluation and electrical characterization using back-gate transistors,"
    Current Applied Physics, 12, (3) S69-S74 (2012).
  3. T. Hosoi, T. Kirino, S. Mitani, Y. Nakano, T. Nakamura, T. Shimura, and H. Watanabe,
    "Relationship between interface property and energy band alignment of thermally grown SiO2 on 4H-SiC(0001),"
    Current Applied Physics, 12, (3) S79-S82 (2012).
  4. N. Kitano, K. Chikaraishi, H. Arimura, T. Hosoi, T. Shimura, T. Nakagawa, and H. Watanabe,
    "Effective work function control of metal inserted poly-Si electrodes on HfSiO dielectrics by in-situ oxygen treatment of metal surface,"
    Current Applied Physics, 12, (3) S83-S86 (2012).
  5. I. Hideshima, T. Hosoi, T. Shimura, and H. Watanabe,
    "Al2O3/GeO2 stacked gate dielectrics formed by post-deposition oxidation of ultrathin metal Al layer directly grown on Ge substrates,"
    Current Applied Physics, 12, (3) S75-S78 (2012).
  6. Y. Suzuki, S. Ogiwara, T. Hosoi, T. Shimura, and H. Watanabe,
    "High-mobility p-channel metal-oxide-semiconductor field-effect transistors on Ge-on-insulator structures formed by lateral liquid-phase epitaxy,"
    Appl. Phys. Lett., 101, (20) 202105 (2012).
  7. S. Ogawa, I. Hideshima, Y. Minoura, T. Yamamoto, A. Yasui, H. Miyata, K. Kimura, T. Ito, T. Hosoi, T. Shimura, and H. Watanabe,
    "Interface engineering between metal electrode and GeO2 dielectric for future Ge-based metal-oxide-semiconductor technologies,"
    Appl. Phys. Lett., 101, (20) 201601 (2012).
  8. H. Watanabe, Y. Suzuki, S. Ogiwara, N. Kataoka, T. Hashimoto, T. Hosoi, and T. Shimura,
    "Fabrication of high-quality GOI and SGOI structures by rapid melt growth method - Novel platform for high-mobility transistors and photonic devices -,"
    ECS Transactions, 50 (4) 261-266 (2012).
  9. T. Hashimoto, N. Zettsu, B. Zheng, M. Fukuta, I. Yamashita, Y. Uraoka, and H. Watanabe,
    "Practical protein removal using atmospheric-pressure helium plasma for densely packed gold nanoparticle arrays assembled by ferritin-based encapsulation/transport system,"
    Appl. Phys. Lett., 101, (7) 073702 (2012).
  10. T. Shimura, T. Matsumiya, N. Morimoto, T. Hosoi, K. Kajiwara, J. Chen, T. Sekiguchi, and H. Watanabe,
    "Analysis of Lattice Distortion in Multicrystalline Silicon for Photovoltaic Cells by Synchrotron White X-Ray Microbeam Diffraction,"
    Mater. Sci. Forum, 725, 153-156 (2012).
  11. A. Chanthaphan, T. Hosoi, S. Mitani, Y. Nakano, T. Nakamura, T. Shimura, and H. Watanabe,
    "Investigation of unusual mobile ion effects in thermally grown SiO2 on 4H-SiC(0001) at high temperatures,"
    Appl. Phys. Lett., 100 (25) 252103 (2012).
  12. H. Watanabe, T. Hosoi, T. Kirino, Y. Uenishi, A. Chanthaphan, A. Yoshigoe, Y. Teraoka, S. Mitani, Y. Nakano, T. Nakamura, and T. Shimura,
    "Synchrotron Radiation Photoelectron Spectroscopy Study of Thermally Grown Oxides on 4H-SiC(0001) Si-Face and (000-1) C-Face Substrates,"
    Mater. Sci. Forum, 717-720, 697-702 (2012).
  13. T. Hosoi, T. Kirino, A. Chanthaphan, Y. Uenishi, D. Ikeguchi, A. Yoshigoe, Y. Teraoka, S. Mitani, Y. Nakano, T. Nakamura, T. Shimura, and H. Watanabe,
    "Impact of Interface Defect Passivation on Conduction Band Offset at SiO2/4H-SiC Interface,"
    Mater. Sci. Forum, 717-720, 721-724 (2012).
  14. D. Ikeguchi, T. Kirino, S. Mitani, Y. Nakano, T. Nakamura, T. Hosoi, T. Shimura, and H. Watanabe,
    "Impact of UV Irradiation on Thermally Grown 4H-SiC MOS Devices,"
    Mater. Sci. Forum, 717-720, 765-768 (2012).
  15. N. Kitano, K.Chikaraishi, H. Arimura, T. Hosoi, T. Shimura, T. Seino, H. Watanabe, and T. Nakagawa,
    "Impact of Si duffusion barrier layer formed on TiN surface by in-situ oxygen treatment process for advanced gate-first metal/high-k stacks,"
    ECS Transactions, 45 (3) 145-149 (2012).
  16. T. Yamamoto, S. Ogawa, J. Tsuji, K. Kita, K. Tagami, T. Uda, T. Hosoi, T. Shimura, and H. Watanabe,
    "Comprehensive Study of the X-Ray Photoelectron Spectroscopy Peak Shift of La-Incorporated Hf Oxide for Gate Dielectrics,"
    Jpn. J. Appl. Phys., 51, 048005 (2012).
  17. M. Uenuma, B. Zheng, T. Imazawa, M. Horita, T. Nishida, Y. Ishikawa, H. Watanabe, I. Yamashita, and Y. Uraoka,
    "Metal-nanoparticle-induced crystallization of amorphous Ge film using ferritin,"
    Appl. Surf. Sci., 258, 3410-3414 (2012).

ページの先頭へ戻る

国際会議 / International Conferences

  1. T. Hosoi, S. Azumo, Y. Kashiwagi, S. Hosaka, R. Nakamura, S. Mitani, Y. Nakano, H. Asahara, T. Nakamura, T. Kimoto, T. Shimura, H. Watanabe,
    "Performance and Reliability Improvement in SiC Power MOSFETs by Implementing AlON High-k Gate Dielectrics,"
    2012 IEEE International Electron Devices Meeting (IEDM), 7.4,
    (San Francisco, CA, USA, December 10-12, 2012).
  2. I. Hideshima, Y. Minoura, A. Kasuya, T. Hosoi, T. Shimura, and H. Watanabe,
    "Improvement of Ultrathin GeON/Ge Interface Properties for High-mobility Ge MOSFETs,"
    8th Handai Nanoscience and nanotechnology International Symposium, PP.148-149, P2-9,
    (Icho-kaikan, Osaka University, Osaka, Japan, December 10-11, 2012).
  3. M. Matsue, Y. Suzuki, H. Nishikawa, T. Hosoi, T. Shimura, and H. Watanabe,
    "Evaluation of Carrier Mobility Characteristics of Ge-on-Insulator MOSFET Formed by Lateral Liquid-Phase Epitaxy,"
    8th Handai Nanoscience and nanotechnology International Symposium, PP.145-146, P2-7,
    (Icho-kaikan, Osaka University, Osaka, Japan, December 10-11, 2012).
  4. T. Hosoi, A. Chanthaphan, S. Mitani, Y. Nakano,T. Nakamura, T. Shimura, and H. Watanabe,
    "Mobile Ions Generated in Thermal SiO2 on SiC by Hydrogen Passivation and Its Impact on Interface Property,"
    The 43rd IEEE Semiconductor Interface Specialists Conference (SISC), P.42,
    (San Diego, CA, USA, December 6-8, 2012).
  5. K. Chikaraishi, T. Minami, N. Kitano, T. Seino, N. Yamaguchi, T. Nakagawa, T. Hosoi, T. Shimura, and H. Watanabe,
    "Al-inserted TiN Gate Electrodes with Low-Pressure Oxidation for Effective Work Function Control of Gate-First Poly-Si/TiN/HfSiO Stacks,"
    The 43rd IEEE Semiconductor Interface Specialists Conference (SISC), 8-1,
    (San Diego, CA, USA, December 6-8, 2012).
  6. Y. Minoura, A. Kasuya, T. Hosoi, T. Shimura, and H. Watanabe,
    "Implementation of GeON Gate Dielectrics for Dual-Channel Ge CMOS Technology,"
    The 43rd IEEE Semiconductor Interface Specialists Conference (SISC), 7-7,
    (San Diego, CA, USA, December 6-8, 2012).
  7. T. Shimura, C. Yoshimoto, T. Hashimoto, S. Ogiwara, Y. Suzuki, T. Hosoi, and H. Watanabe,
    "Fabrication of High-quality SiGe-on-Insulator and Ge-on-Insulator Structures by Rapid Melt Growth," Invited
    The International Symposium on Visualization in Joining & Welding Science through Advanced Measurements and Simulation (Visual-JW2012), pp.33-34, RAJU-SP6,
    (Hotel Hankyu Expo Park, Osaka, Japan, November 29-30, 2012).
  8. T. Shimura, Y. Suzuki, S. Ogiwara, T. Hosoi, and H. Watanabe,
    "Fabrication of Ge-on-insulator structure by lateral liquid-phase epitaxy and its electrical characterization using back-gate transistors,"
    The 6th International Symposium on Advanced Science and Technology of Silicon Materials, pp.75-78, E-06,
    (Kailua-Kona, HI, USA, November 19-23, 2012).
  9. H. Watanabe, T. Shimura and T. Hosoi,
    "Gate Stack Technology for Next-Generation Green Electronics,"
    Ext. Abst. of Fifth International Symposium on Atomically Controlled Fabrication Technology, pp.92-93, 4.3,
    (Osaka University Nakanoshima Center, Osaka, Japan, October 22-24, 2012).
  10. T. Shimura, S. Ogiwara, C. Yoshimoto, T. Hosoi, and H. Watanabe,
    "High-quality Fully Relaxed SiGe Layers Fabricated on Silicon-on-Insulator Wafers by Rapid Melt Growth,"
    Ext. Abst. of Fifth International Symposium on Atomically Controlled Fabrication Technology, pp.242-243, P-66,
    (Osaka University Nakanoshima Center, Osaka, Japan, October 22-24, 2012).
  11. T. Hosoi, G. Okamoto, K. Kutsuki, I. Hideshima, A. Yoshigoe, Y. Teraoka, T. Shimura and H. Watanabe,
    "Germanium Nitride Interface Layer for High-k/Ge Gate Stacks,"
    Ext. Abst. of Fifth International Symposium on Atomically Controlled Fabrication Technology, pp.202-203, P-46,
    (Osaka University Nakanoshima Center, Osaka, Japan, October 22-24, 2012).
  12. T. Hashimoto, Y. Fukunishi, Z. Bin, Y. Uraoka, T. Hosoi, T. Shimura, and H. Watanabe,
    "Fabrication and Evaluation of Photoelectronic Devices Integrated with Gold Nanoparticle Plasmon Antenna,"
    Ext. Abst. of Fifth International Symposium on Atomically Controlled Fabrication Technology, pp.32-33, S1-5・P-72,
    (Osaka University Nakanoshima Center, Osaka, Japan, October 22-24, 2012).
  13. A. Chanthaphan, S. Mitani, Y. Nakano, T. Nakamura, T. Hosoi, T. Shimura and H. Watanabe,
    "Elimination of Mobile Ions in Thermal Oxide of SiC MOS Devices,"
    Ext. Abst. of Fifth International Symposium on Atomically Controlled Fabrication Technology, pp.60-61, S2-4・P-62,
    (Osaka University Nakanoshima Center, Osaka, Japan, October 22-24, 2012).
  14. S. Ogawa, I. Hideshima, Y. Minoura, T. Yamamoto, A. Yasui, H. Miyata, T. Hosoi, T. Shimura, and H. Watanabe,
    "Interface Engineering between Metal Electrode and GeO2 Dielectric for Future Ge-based Metal-Oxide-Semiconductor Technologies,"
    Ext. Abst. of Fifth International Symposium on Atomically Controlled Fabrication Technology, pp.224-225, P-57,
    (Osaka University Nakanoshima Center, Osaka, Japan, October 22-24, 2012).
  15. N. Morimoto, S. Fujino, T. Nagatomi, K. Ohshima, J. Harada, K. Omote,N. Osaka, T. Hosoi, H. Watanabe and T. Shimura,
    "Application of Multiline Embedded X-ray Targets to X-ray Talbot-Lau Interferometer,"
    Ext. Abst. of Fifth International Symposium on Atomically Controlled Fabrication Technology, pp.194-195, P-42,
    (Osaka University Nakanoshima Center, Osaka, Japan, October 22-24, 2012).
  16. K. Chikaraishi, T. Minami, N. Kitano, T. Seino, N. Yamaguchi, T. Nakagawa, T. Hosoi, T. Shimura, and H. Watanabe,
    "Advanced Poly-Si/TiN Gate Electrode for Gate-first Metal/high-k PMOSFET,"
    Ext. Abst. of Fifth International Symposium on Atomically Controlled Fabrication Technology, pp.200-201, P-45,
    (Osaka University Nakanoshima Center, Osaka, Japan, October 22-24, 2012).
  17. Y. Suzuki, S. Ogiwara, T. Hosoi, T. Shimura, and H. Watanabe,
    "Evaluation of Carrier Mobility in Local GOI Structures Formed by Lateral Liquid-Phase Epitaxy,"
    Ext. Abst. of Fifth International Symposium on Atomically Controlled Fabrication Technology, pp.204-205, P-47,
    (Osaka University Nakanoshima Center, Osaka, Japan, October 22-24, 2012).
  18. D. Ikeguchi, S. Mitani, Y. Nakano, T. Nakamura, T. Hosoi, T. Shimura, and H. Watanabe,
    "Improvement of Thermal SiO2/4H-SiC Interface by UV Irradiation and Subsequent High Temperature Annealing,"
    Ext. Abst. of Fifth International Symposium on Atomically Controlled Fabrication Technology, pp.232-233, P-61,
    (Osaka University Nakanoshima Center, Osaka, Japan, October 22-24, 2012).
  19. Y. Minoura, A. Kasuya, T. Hosoi, T. Shimura, and H. Watanabe,
    "Process Optimization of GeON/Ge Gate Stacks for High-mobility Ge-based CMOS Devices,"
    Ext. Abst. of Fifth International Symposium on Atomically Controlled Fabrication Technology, pp.226-227, P-58,
    (Osaka University Nakanoshima Center, Osaka, Japan, October 22-24, 2012).
  20. H. Watanabe, Y. Suzuki, S. Ogiwara, N. Kataoka, T. Hashimoto, T. Hosoi, and T. Shimura,
    "Fabrication of high-quality GOI and SGOI structures by rapid melt growth method - Novel platform for high-mobility transistors and photonic devices - ," Invited
    Pacific Rim Meeting on Electrochemical and Solid-state Science (PRiMe 2012), Abstract #2613,
    (Honolulu, HI, USA, October 10, 2012).
  21. T. Shimura, S. Ogiwara, Y. Suzuki, C. Yoshimoto, T. Hosoi, and H. Watanabe,
    "Rapid Melt Growth of Fully Relaxed SiGe Layers with High Ge Concentration on Silicon-on-Insulator Substrates,"
    Program and Abstrats of Plenary, Forums, Somiya Award and Special Lecture, IUMRS-ICEM 2012, p.51, B-1-O28-005,
    (Pacifico Yokohama, Kanagawa, Japan, September 28, 2012).
  22. K. Chikaraishi, T. Minami, N. Kitano, T. Seino, N. Yamaguchi, T. Nakagawa, T. Hosoi, T. Shimura, and H. Watanabe,
    "Effective Work Function Control of MIPS/High-k Gate Stacks by Al-Incorporation and in situ Low-Pressure Oxidation of TiN Surface,"
    Program and Abstrats of Plenary, Forums, Somiya Award and Special Lecture, IUMRS-ICEM 2012, p.52, B-1-O28-011,
    (Pacifico Yokohama, Kanagawa, Japan, September 28, 2012).
  23. T. Shimura, T. Matsumiya, N. Morimoto, S. Fujino, T. Hosoi, K. Kajiwara, J. Chen, T. Sekiguchi, and H. Watanabe,
    "Characterization of multicrystalline Si in solar modules by synchrotron white x-ray microbeam diffraction,"
    Abstracts of 11th Biennial Conference on High Resolution X-Ray Diffraction and Imaging (XTOP 2012), pp.304-305, P2-5-01,
    (Saint Petersburg, Russia, September 17, 2012).
  24. N. Morimoto, S. Fujino, T. Nagatomi, K. Ohshima, J. Harada, K. Omote, N. Osaka, T. Hosoi, H. Watanabe, and T. Shimura,
    "Development of multiline embedded X-ray targets for X-ray phase contrast imaging,"
    Abstracts of 11th Biennial Conference on High Resolution X-Ray Diffraction and Imaging (XTOP 2012), pp.74-75, O3-05,
    (Saint Petersburg, Russia, September 17, 2012).
  25. H. Watanabe, D. Ikeguchi, T. Kirino, S. Mitani, Y. Nakano, T. Nakamura, T. Hosoi, and T. Shimura,
    "Novel approach for improving interface quality of 4H-SiC MOS devices with UV irradiation and subsequent thermal annealing,"
    9th European Conference on Silicon Carbide & Related Materials (ECSCRM-2012), TuP-64,
    (Saint Petersburg, Russia, September 04, 2012).
  26. T. Hosoi, Y. Uenishi, S. Mitani, Y. Nakano, T. Nakamura, T. Shimura, and H. Watanabe,
    "Dielectric properties of thermally grown SiO2 on 4H-SiC (0001) substrates,"
    9th European Conference on Silicon Carbide & Related Materials (ECSCRM-2012), MoP-56,
    (Saint Petersburg, Russia, September 03, 2012).
  27. R. Sumi, N. Zettsu, T. Ueno, T. Hosoi, H. Watanabe, and N. Saito,
    "Fabrication of Au / Pt Binary-Component Metal Nanodot Array by Electrostatistically-Driven Colloidal Self-Assembly,"
    International Union of Materials Research Society-International Conference in Asia–2012 (IUMRS-ICA-2012) , TuP181,
    (BEXCO, Busan, Korea, August 28, 2012).
  28. T. Hosoi, T. Kirino, Y. Uenishi, D. Ikeguchi, A. Chanthaphan, A. Yoshigoe, Y. Teraoka, S. Mitani, Y. Nakano, T. Nakamura, T. Shimura, and H. Watanabe,
    "Gate Stack Technologies for Silicon Carbide Power MOS Devices," Invited
    2012 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices (AWAD2012), 1A-3,
    (Okinawa Seinen-kaikan, Naha, Japan, June 27, 2012).
  29. T. Hosoi, Y. Odake, K. Chikaraishi, H. Arimura, N. Kitano, T. Shimura, and H. Watanabe,
    "Oxygen-induced High‐k Degradation in TiN/HfSiO Gate Stacks,"
    2012 IEEE Silicon Nanoelectronics Workshop (SNW), P1-13,
    (Honolulu, HI, USA, June 10-11, 2012).
  30. N. Kitano, K.Chikaraishi, H. Arimura, T. Hosoi, T. Shimura, T. Seino, H. Watanabe, and T. Nakagawa,
    "Impact of Si duffusion barrier layer formed on TiN surface by in-situ oxygen treatment process for advanced gate-first metal/high-k stacks,"
    Meet. Abstr. - 220th ECS Meeting, #691,
    (Seattle, WA, USA, May 6-10, 2012).
  31. A. Chanthaphan, T. Kirino, Y. Uenishi, D. Ikeguchi, S. Mitani, Y. Nakano, T. Nakamura, T. Hosoi, T. Shimura, and H. Watanabe,
    "Insight into Bias-temperature Instability of 4H-SiC MOS Devices with Thermally Grown SiO2 Dielectrics,"
    2012 MRS Spring Meeting, H5.6,
    (San Francisco, CA, USA, April 11, 2012).
  32. N. Morimoto, S. Fujino, T. Nagatomi, K. Ohshima, J. Harada, K. Omote, N. Osaka, T. Hosoi, T. Shimura, and H. Watanabe,
    "Compact X‐ray Talbot‐Lau interferometer with multiline embedded X‐ray targets,"
    International Workshop on X-ray and Neutron Phase Imaging with Gratings (XNPIG), Poster Sesssion, P.35,
    (Miraikan, Tokyo, Japan, March 05-07, 2012).

ページの先頭へ戻る

国内学会 / Domestic Conferences

  1. 細井 卓治、上西 悠介、箕谷 周平、中野 佑紀、中村 孝、志村 考功、渡部 平司,
    "SiC熱酸化膜の比誘電率に関する考察,"
    SiC及び関連ワイドギャップ半導体研究会 第21回講演会, P-41, pp.110-111,
    (大阪市中央公会堂, November 19-20, 2012).
  2. Atthawut Chanthaphan、箕谷 周平、中野 佑紀、中村 孝、細井 卓治、志村考功、渡部 平司,
    "Elimination of mobile ions in thermal oxide grown on 4H-SiC by utilizing bias-temperature stress,"
    SiC及び関連ワイドギャップ半導体研究会 第21回講演会, P-38, pp.104-105,
    (大阪市中央公会堂, November 19-20, 2012).
  3. 池口 大輔、箕谷 周平、中野 佑紀、中村 孝、細井 卓治、志村 考功、渡部 平司,
    "熱酸化SiO2/SiC構造における紫外線誘起欠陥生成機構の検討,"
    SiC及び関連ワイドギャップ半導体研究会 第21回講演会, P-42, pp.112-113,
    (大阪市中央公会堂, November 19-20, 2012).
  4. 鈴木 雄一朗,
    "High-mobility Ge-on-insulator p-channel MOSFETs fabricated by lateral liquid-phase epitaxy [SISC2011] ," Invited
    第12回関西コロキアム電子デバイスワークショップ,
    (大阪大学中之島センター, October 26, 2012).
  5. 有馬 健太,村 敦史,秀島 伊織,細井 卓治,渡部 平司,Zhi Liu,
    "湿度制御雰囲気下でのGeO2/Ge構造のXPS観測:吸着水がGe3dスペクトルに与える影響,"
    2012年秋季 第73回応用物理学関係連合講演会予稿集, 12p-C12-9,
    (愛媛大学・松山大学, September 11-14, 2012).
  6. 細井 卓治,上西 悠介,箕谷 周平,中野 佑紀,中村 孝,志村 考功,渡部 平司,
    "4H-SiC(0001)面上熱酸化SiO2膜の特異性の検証,"
    2012年秋季 第73回応用物理学関係連合講演会予稿集, 11p-PB2-7,
    (愛媛大学・松山大学, September 11-14, 2012).
  7. 橋元 達也,福西 友里恵,鄭 彬,浦岡 行治,細井 卓治,志村 考功,渡部平司,
    "金ナノ粒子プラズモンアンテナを利用した光電子デバイスの提案とその実証,"
    2012年秋季 第73回応用物理学関係連合講演会予稿集, 12p-H4-15,
    (愛媛大学・松山大学, September 11-14, 2012).
  8. 池口 大輔,箕谷 周平,中野 佑紀,中村 孝,細井 卓治,志村 考功,渡部 平司,
    "紫外線照射による4H-SiC MOSデバイス特性劣化の波長依存性,"
    2012年秋季 第73回応用物理学関係連合講演会予稿集, 11p-PB2-6,
    (愛媛大学・松山大学, September 11-14, 2012).
  9. 鈴木 雄一朗,西川 弘晃,荻原 伸平,細井 卓治,志村 考功,渡部 平司,
    "横方向液相成長により作製したGOI構造のキャリア移動度評価,"
    2012年秋季 第73回応用物理学関係連合講演会予稿集, 13p-J-4,
    (愛媛大学・松山大学, September 11-14, 2012).
  10. 藤野 翔,森本 直樹,永富 隆清,大嶋 建一,原田 仁平,表 和彦,大坂 尚久,細井 卓治,渡部 平司,志村 考功,
    "埋め込みX線源を用いた高効率小型Talbot-Lau干渉計によるX線位相イメージング,"
    2012年秋季 第73回応用物理学関係連合講演会予稿集, 12a-C3-8,
    (愛媛大学・松山大学, September 11-14, 2012).
  11. 細井 卓治, 大嶽 祐輝, 有村 拓晃, 力石 薫介, 北野 尚武, 志村 考功, 渡部 平司,
    "TiN電極中の酸素に起因したHf系High-kゲート絶縁膜の特性劣化,"
    電子情報通信学会 シリコン材料・デバイス研究会 (SDM), 信学技報, vol. 112, no. 92, SDM2012-51, pp. 43-46,
    (名古屋大学 ベンチャー・ビジネス・ラボラトリー, June 21, 2012).
  12. 箕浦 佑也, 糟谷 篤志, 細井 卓治, 志村 考功, 渡部 平司,
    "極薄GeON膜を用いた高移動度Ge MOSFETの作製と電気特性評価,"
    電子情報通信学会 シリコン材料・デバイス研究会 (SDM), 信学技報, vol. 112, no. 92, SDM2012-47, pp. 23-26,
    (名古屋大学 ベンチャー・ビジネス・ラボラトリー, June 21, 2012).
  13. A. Chanthaphan,S. Mitani,Y. Nakano,T. Nakamura,T. Hosoi,T. Shimura,and H. Watanabe,
    "Verification and removal of mobile ions in SiC-MOS devices with thermally grown SiO2 insulators,"
    2012年春季 第59回応用物理学関係連合講演会予稿集, 18a-A8-6,
    (早稲田大学, March 15-18, 2012).
  14. 荻原 伸平,片岡 伸文,鈴木 雄一朗,細井 卓治,志村 考功,渡部 平司,
    "急速加熱処理によるGe1-xSnx層の低温エピタキシャル成長,"
    2012年春季 第59回応用物理学関係連合講演会予稿集, 16p-F11-7,
    (早稲田大学, March 15-18, 2012).
  15. 力石 薫介, 南 卓士,北野 尚武,清野 拓哉,山口 述夫,中川 隆史,細井 卓治,志村 考功,渡部 平司,
    "TiN電極へのAl添加と減圧表面酸化処理によるpMOS用MIPS/High-kゲートスタックの実効仕事関数制御,"
    2012年春季 第59回応用物理学関係連合講演会予稿集, 17p-A4-12,
    (早稲田大学, March 15-18, 2012).
  16. 大嶽 祐輝,有村 拓晃,力石 薫介,北野 尚武,細井 卓治,志村 考功,渡部 平司,
    "TiN/HfSiO/SiO2ゲートスタック中のHf及びSiのTiN電極中への上方拡散機構の検討,"
    2012年春季 第59回応用物理学関係連合講演会予稿集, 17p-A4-11,
    (早稲田大学, March 15-18, 2012).
  17. 箕浦 佑也,糟谷 篤志,細井 卓治,志村 考功,渡部 平司,
    "極薄GeON ゲート絶縁膜を用いたGe MOSFET の作製と電気特性評価,"
    2012年春季 第59回応用物理学関係連合講演会予稿集, 16p-A4-7,
    (早稲田大学, March 15-18, 2012).
  18. 有馬 健太,村 敦史,秀島 伊織,細井 卓治,渡部 平司,Zhi Liu,
    "GeO2表面上での湿度に依存した吸着水成長過程のin situ XPS観測,"
    2012年春季 第59回応用物理学関係連合講演会予稿集, 16a-A5-5,
    (早稲田大学, March 15-18, 2012).
  19. 森本 直樹,藤野 翔,永富 隆清,大嶋 建一,原田 仁平,表 和彦,大坂 尚久,細井 卓治,志村 考功,渡部 平司,
    "マルチライン状の埋め込み型X線源を用いた小型X線Talbot-Lau干渉計,"
    2012年春季 第59回応用物理学関係連合講演会予稿集, 18a-B5-7,
    (早稲田大学, March 15-18, 2012).
  20. 有馬 健太, 村 敦史, 秀島 伊織, 細井 卓治, 渡部 平司, Zhi Liu,
    "湿度制御雰囲気下でのin-situ XPSによるGeO2表面における吸着水形成過程の観測,"
    応用物理学会 薄膜・表面物理分科会/シリコンテクノロジー分科会共催特別研究会アブストラクト集「ゲートスタック研究会 ―材料・プロセス・評価の物理―」(第17回研究会), pp. 101-104,
    (東レ総合研修センター, 静岡県三島市, January 20-21, 2012).
  21. 力石 薫介, 北野 尚武, 有村 拓晃, 細井 卓治, 志村考功, 中川 隆史, 渡部 平司,
    "TiN電極の減圧表面酸化処理によるpoly-Si/TiN/HfSiO/SiO2ゲートスタックの実効仕事関数制御,"
    応用物理学会 薄膜・表面物理分科会/シリコンテクノロジー分科会共催特別研究会アブストラクト集「ゲートスタック研究会 ―材料・プロセス・評価の物理―」(第17回研究会), pp. 109-112,
    (東レ総合研修センター, 静岡県三島市, January 20-21, 2012).
  22. 鈴木 雄一朗, 荻原 伸平, 細井 卓治, 志村 考功, 渡部 平司,
    "横方向液相エピタキシャル成長により作製した単結晶GOI構造の電気特性評価,"
    応用物理学会 薄膜・表面物理分科会/シリコンテクノロジー分科会共催特別研究会アブストラクト集「ゲートスタック研究会 ―材料・プロセス・評価の物理―」(第17回研究会), pp. 13-16,
    (東レ総合研修センター, 静岡県三島市, January 20-21, 2012).
  23. 志村 考功, 下川 大輔, 松宮 拓也, 細井 卓冶, 渡部 平司,
    "ゲルマニウム熱酸化膜中の残留秩序構造,"
    応用物理学会 薄膜・表面物理分科会/シリコンテクノロジー分科会共催特別研究会アブストラクト集「ゲートスタック研究会 ―材料・プロセス・評価の物理―」(第17回研究会), pp. 97-100,
    (東レ総合研修センター, 静岡県三島市, January 20-21, 2012).

解説 / Reviews

  1. H. Watanabe and T. Hosoi,
    "Fundamental Aspects of Silicon Carbide Oxidation,"
    Physics and Technology of Silicon Carbide Devices, ISBN 978-953-51-0917-4,
    (December, 2012).
  2. 渡部 平司, 細井 卓治,
    "熱酸化SiO2/SiC 界面原子構造と界面電気特性の評価,"
    表面科学, Vol. 33, No. 11, pp.639-644,
    (November, 2012).
  3. 渡部 平司, 細井 卓治,
    "High-k ゲートスタック技術の進展と最新動向,"
    電子情報通信学会誌, Vol. 95, No. 11, pp.960-964,
    (November, 2012).
  4. 渡部 平司,
    "SiCパワーデバイス開発と評価技術 -高性能 SiC-MOSFET 実現に向けた熱酸化膜形成過程と MOS界面特性の理解-,"
    応用物理学会 薄膜・表面物理分科会 News Letter -半導体SiCの基礎と応用-, No. 145, pp.37-46,
    (September, 2012).
  5. 志村 考功, 細井 卓治, 渡部 平司,
    "放射光X 線トポグラフィによる極薄ひずみSi 層の結晶性評価,"
    日本結晶学会誌, Vol. 54, No. 1, pp.47-53,
    (March, 2012).

報道 / News Articles

  1. 日刊工業新聞, December 12, 2012
    "SiC MOSFET 高誘電率ゲート絶縁膜採用 阪大など 漏れ電流9割低減,"
  2. 日経産業新聞, December 12, 2012
    "電力損失を大幅低減 パワー半導体 アルミ酸化物使う 阪大など,"
  3. 電波新聞, December 12, 2012
    "阪大/京大/ローム/東京エレ ゲート絶縁膜にAlON採用 SiCパワーMOSFET開発 13年度にも実用化めざす,"
  4. 化学工業日報, December 12, 2012
    "漏れ電流1ケタ低減 AlON採用のSiCトランジスタ 阪大など開発 長期信頼性も向上,"
  5. 半導体産業新聞, December 12, 2012
    "大阪大学ら SiC絶縁耐圧1.5倍 AlON膜で信頼性向上,"
  6. 朝日新聞デジタル, December 12, 2012
    "阪大など、高誘電率ゲート絶縁膜を採用したSiC MOSFETを開発,"
  7. 日経Tech-On!, December 13, 2012
    "【IEDM】リーク電流の90%低減と耐圧1.5倍を実現したSiC製MOSFETをロームらが試作,"
  8. マイナビニュース, December 11, 2012
    "IEDM 2012 -阪大など、漏れ電流低減と絶縁耐圧向上を実現したSiC素子を開発,"
  9. IT MONOist, EETimes, December 11, 2012
    "パワー半導体 SiCデバイス:SiC-MOSFETの課題克服へ、新材料を用いたゲート絶縁膜で信頼性を向上,"
  10. ELISNET, December 11, 2012
    "電力変換装置の損失低減と信頼性向上を実現するSiC トランジスタを開発,"
  11.     

    ページの先頭へ戻る