研究成果

研究業績受賞歴

年度:2020 | 2019 | 2018 | 2017 | 2016 | 2015 | 2014 | 2013 | 2012 | 2011 | 2010 | 2009 | 2008 | 2007 | 2006 | 2005 | 2004

2009年度研究成果

学術論文 / Journal Papers

  1. H. Matsumura, Y. Kanematsu, T. Shimura, T. Tamaki, Y. Ozeki, K. Itoh, M. Sumiya, T. Nakano, and S. Fuke,
    "Lateral Polarity Control in GaN Based on Selective Growth Procedure,"
    Appl. Phys. Express, 2, 101001 (2009).
  2. K. Kutsuki, G. Okamoto, T. Hosoi, T. Shimura, and H. Watanabe,
    "Germanium oxynitride gate dielectrics formed by plasma nitridation of ultrathin thermal oxides on Ge(100),"
    Appl. Phys. Lett., 95, (2) 022102 (2009).
  3. S. Saito, T. Hosoi, H. Watanabe, and T. Ono,
    "First-principles study to obtain evidence of low interface defect density at Ge/GeO2 interfaces,"
    Appl. Phys. Lett., 95, (1) 011908 (2009).
  4. T. Ando, T. Hirano, S. Yoshida, K. Tai, S. Yamaguchi, S. Toyoda, H. Kumihashira, T. Shimura, H. Iwamoto, M. Oshima, S. Kadomura, and H. Watanabe,
    "Mechanism of carrier mobility degradation induced by crystallization of HfO2 gate dielectrics,"
    Appl. Phys. Express, 2, 071402 (2009).
  5. T. Hashimoto, C. Yoshimoto, T. Hosoi, T. Shimura, and H. Watanabe,
    "Fabrication of Local Ge-on-Insulator Structures by Lateral Liquid-Phase Epitaxy: Effect of Controlling Interface Energy between Ge and Insulators on Lateral Epitaxial Growth,"
    Appl. Phys. Express, 2, 066502 (2009).
  6. T. Shimura, Y. Okamoto, D. Shimokawa, T. Inoue, T. Hosoi, and H. Watanabe,
    "Synchrotron X-ray Diffraction Studies of Thermal Oxidation of Si and SiGe,"
    ECS Transactions, 19 (2) 479-493 (2009).
  7. T. Hosoi, K. Kutsuki, G. Okamoto, M. Saito, T. Shimura, and H. Watanabe,
    "Origin of flatband voltage shift and unusual minority carrier generation in thermally grown GeO2/Ge metal-oxide-semiconductor devices,"
    Appl. Phys. Lett., 94, (20) 202112 (2009).
  8. T. Hosoi, A. Ohta, S. Miyazaki, H. Shiraishi, and K. Shibahara,
    "Photoemission study of fully silicided Pd2Si gates with interface modification induced by dopants,"
    Appl. Phys. Lett., 94, (19) 192102 (2009).
  9. K. Kutsuki, G. Okamoto, T. Hosoi, T. Shimura, and H. Watanabe,
    "Nitrogen Plasma Cleaning of Ge(100) Surfaces,"
    Appl. Surf. Sci., 255, 6335-6337 (2009).
  10. Y. Kita, S. Yoshida, T. Hosoi, T. Shimura, K. Shiraishi, Y. Nara, K. Yamada, and H. Watanabe,
    "Systematic Study on Work-function-shift in Metal/Hf-based High-k Gate Stacks,"
    Appl. Phys. Lett., 94, (12) 122905 (2009).
  11. T. Hosoi, M. Harada, Y. Kagei, Y. Watanabe, T. Shimura, S. Mitani, Y. Nakano, T. Nakamura, and H. Watanabe,
    "AION/SiO2 Stacked Gate Dielectrics for 4H-SiC MIS Devices,"
    Mater. Sci. Forum, 615-617, 541-544 (2009).
  12. H. Watanabe, Y. Watanabe, M. Harada, Y. Kagei, T. Kirino, T. Hosoi, T. Shimura, S. Mitani, Y. Nakano, and T. Nakamura,
    "Impact of a Treatment Combining Nitrogen Plasma Exposure and Forming Gas Annealing on Defect Passivation of SiO2/SiC Interfaces,"
    Mater. Sci. Forum, 615-617, 525-528 (2009).

ページの先頭へ戻る

国際会議/ International Conferences

  1. T. Ando, A. Callegari, C. Choi, M. Hopstaken, J. Bruley, M. Gordon, H. Watanabe, and V. Narayanan,
    "Effective Work Function Control of TaC/High-k Gate Stack by Post Metal Nitridation,"
    40th IEEE Semiconductor Interface Specialists Conference (SISC), Session9, 9.2,
    (Arlington, VA, USA, December 03-05, 2009).
  2. T. Hosoi, M. Saito, I. Hideshima, G. Okamoto, K. Kutsuki, S. Ogawa, T. Yamamoto, T. Shimura, and H. Watanabe,
    "New Insights into Flatband Voltage Shift and Minority Carrier Generation in GeO2/Ge MOS devices,"
    40th IEEE Semiconductor Interface Specialists Conference (SISC), Session4, 4.2,
    (Arlington, VA, USA, December 03-05, 2009).
  3. M. Fukuta, H. Watanabe, and I. Yamashita,
    "Development of Silane-coupling Silicon Substrate for Device Fabrication using Protein,"
    2009 MRS Fall Meeting, SS5.11,
    (Boston, MA, USA, November 30, 2009 - December 03, 2009).
  4. K. Kutsuki, G. Okamoto, T. Hosoi, T. Shimura, and H. Watanabe,
    "Impact of Plasma Nitridation on Physical and Electrical Properties of Ultrathin Thermal Oxides on Ge(100),"
    2009 MRS Fall Meeting, A7.2,
    (Boston, MA, USA, November 30, 2009 - December 03, 2009).
  5. T. Hashimoto, C. Yoshimoto, T. Hosoi, T. Shimura and H. Watanabe,
    "Fabrication of Single-Crystal Local Germanium-on-Insulator Structures by Lateral Liquid-Phase Epitaxy,"
    2009 MRS Fall Meeting, A5.1,
    (Boston, MA, USA, November 30, 2009 - December 03, 2009).
  6. S. Saito, T. Hosoi, H. Watanabe, and T. Ono,
    "First-Principles Study on Oxidation Mechanism at Ge/GeO2 Interface,"
    Ext. Abst. of Second International Symposium on Atomically Controlled Fabrication Technology, pp.114-116,
    (Osaka University Nakanoshima Center, Osaka, Japan, November 25-26, 2009).
  7. G. Okamoto, K. Kutsuki, T. Hosoi, T. Shimura, and H. Watanabe,
    "Electrical Characteristics of Ge-based MIS Devices with Ge3N4 Dielectrics Formed by Plasma,"
    Ext. Abst. of Second International Symposium on Atomically Controlled Fabrication Technology, pp.110-111,
    (Osaka University Nakanoshima Center, Osaka, Japan, November 25-26, 2009).
  8. Y. Oku, H. Arimura, M. Saeki, N. Kitano, M. Kosuda, T. Hosoi, T. Shimura, and H. Watanabe,
    "Impact of gate electrode deposition process on effective work function of poly-Si/TiNHfSiO gate stacks,"
    Ext. Abst. of Second International Symposium on Atomically Controlled Fabrication Technology, pp.106-107,
    (Osaka University Nakanoshima Center, Osaka, Japan, November 25-26, 2009).
  9. K. Kutsuki, G. Okamoto, T. Hosoi, T. Shimura, and H. Watanabe,
    "Structural and electrical properties of GeON dielectrics formed by high-density plasma nitridation of ultrathin thermal GeO2,"
    Ext. Abst. of Second International Symposium on Atomically Controlled Fabrication Technology, pp.104-105,
    (Osaka University Nakanoshima Center, Osaka, Japan, November 25-26, 2009).
  10. K. Kozono, S. Mitani, Y. Nakano, T. Nakamura, T. Hosoi, T. Shimura, and H. Watanabe,
    "Observation of local dielectric degradation of thermal oxides on 4H-SiC using conductive AFM,"
    Ext. Abst. of Second International Symposium on Atomically Controlled Fabrication Technology, pp.102-103,
    (Osaka University Nakanoshima Center, Osaka, Japan, November 25-26, 2009).
  11. M. Saeki, H. Arimura, Y. Oku, N. Kitano, T. Hosoi, T. Shimura, and H. Watanabe,
    "Impact of Carbon Impurity on Electrical Properties of TiN/HfSiON/SiO2,"
    Ext. Abst. of Second International Symposium on Atomically Controlled Fabrication Technology, pp.96-97,
    (Osaka University Nakanoshima Center, Osaka, Japan, November 25-26, 2009).
  12. Y. Kagei, T. Kirino, S. Mitani, Y. Nakano, T. Nakamura, T. Hosoi, T. Shimura, and H. Watanabe,
    "Improved SiC-MOS Interfaces Formed by Thermal Oxidation of Plasma Nitrided SiC surfaces,"
    Ext. Abst. of Second International Symposium on Atomically Controlled Fabrication Technology, pp.94-95,
    (Osaka University Nakanoshima Center, Osaka, Japan, November 25-26, 2009).
  13. S. M. Suturin, T. Shimura, N. S. Sokolov, A. G. Banshchikov, R. N. Kyutt, O. Sakata, J. Harada, M. Tabuchi, and Y. Takeda,
    "Initial Stages of High-temperature CaF2 Epitaxial Growth On Si(001): Surface X-ray Diffraction Study,"
    Ext. Abst. of Second International Symposium on Atomically Controlled Fabrication Technology, pp.92-93,
    (Osaka University Nakanoshima Center, Osaka, Japan, November 25-26, 2009).
  14. T. Hosoi, I. Hideshima, G. Okamoto, K. Kutsuki, T. Shimura, and H. Watanabe,
    "Fundamental understanding of thermally grown GeO2/Ge MOS characteristics,"
    Ext. Abst. of Second International Symposium on Atomically Controlled Fabrication Technology, pp.90-91,
    (Osaka University Nakanoshima Center, Osaka, Japan, November 25-26, 2009).
  15. H. Arimura, Y. Oku, M. Saeki, N. Kitano, T. Hosoi, T. Shimura, and H. Watanabe,
    "Thermal Instability of Effective Work Function of Metal/HfLaSiO Gate Stacks,"
    Ext. Abst. of Second International Symposium on Atomically Controlled Fabrication Technology, pp.88-89,
    (Osaka University Nakanoshima Center, Osaka, Japan, November 25-26, 2009).
  16. T. Hashimoto, K. Gamo, M. Fukuta, B. Zheng, N. Okamoto, I. Yamashita, Y. Uraoka, N. Zettsu, T. Hosoi, T. Shimura, and H. Watanabe,
    "Selective Adsorption of Ti-binding Ferritin on Thin Ti Film with Various Oxidation Treatment,"
    Ext. Abst. of Second International Symposium on Atomically Controlled Fabrication Technology, pp.86-87,
    (Osaka University Nakanoshima Center, Osaka, Japan, November 25-26, 2009).
  17. T. Yamamoto, S. Ogawa, J. Tsuji, T. Hosoi, T. Shimura, and H. Watanabe,
    "Characterization of Hf based High-k dielectric films by NEXAFS,"
    Ext. Abst. of Second International Symposium on Atomically Controlled Fabrication Technology, pp.84-85,
    (Osaka University Nakanoshima Center, Osaka, Japan, November 25-26, 2009).
  18. S. Saito, T. Hosoi, H. Watanabe, and N. Zettu,
    "Non-volatile Memory Applications in a 12 nm-sized Au Nanoparticle Array Fabricated by Preciously Controlled Colloidal Self-Assembly,"
    Ext. Abst. of Second International Symposium on Atomically Controlled Fabrication Technology, pp.80-81,
    (Osaka University Nakanoshima Center, Osaka, Japan, November 25-26, 2009).
  19. H. Watanabe, Y. Kagei, K. Kozono, T. Kirino, Y. Watanabe, S. Mitani, Y. Nakano, T. Nakamura,T. Hosoi, and T. Shimura,
    "Advanced Gate Stack Technology for SiC-MOS Power Devices,"
    Ext. Abst. of Second International Symposium on Atomically Controlled Fabrication Technology, pp.18-19,
    (Osaka University Nakanoshima Center, Osaka, Japan, November 25-26, 2009).
  20. K. Kozono, Y. Kagei, T. Kirino, S. Mitani, Y. Nakano, Y. Nakamura, T. Hosoi, T. Shimura, and H. Watanabe,
    "Direct Observation of Dielectric Breakdown Spot in Thermal Oxides on 4H-SiC(0001) Using Conductive Atomic Force Microscopy,"
    International Conference on Silicon Carbide and Related Materials 2009 (ICSCRM), Tu-P-45,
    (Nuremberg, Germany, October 11-16, 2009).
  21. Y. Kagei, T. Kirino, Y. Watanabe, S. Mitani, Y. Nakano, T. Nakamura, T. Hosoi, T. Shimura, and H. Watanabe,
    "Improved electrical properties of SiC-MOS interfaces by thermal oxidation of plasma nitrided 4H-SiC(0001) surfaces,"
    International Conference on Silicon Carbide and Related Materials 2009 (ICSCRM), Tu-P-44,
    (Nuremberg, Germany, October 11-16, 2009).
  22. T. Hosoi, Y. Kagei, T. Kirino, Y. Watanabe, K. Kozono, S. Mitani, Y. Nakano, T. Nakamura, T. Shimura, and H. Watanabe,
    "Improved Characteristics of 4H-SiC MISFET with AION/Nitrided SiO2 Stacked Gate Dielectrics,"
    International Conference on Silicon Carbide and Related Materials 2009 (ICSCRM), Mo-P-57,
    (Nuremberg, Germany, October 11-16, 2009).
  23. T. Shimura, T. Inoue, D. Shimokawa, T. Hosoi, H. Watanabe, A. Ogura, and M. Umeno,
    "Observation of Two-Dimensional Distribution of Lattoce Inclination and Strain in Strained Si Wafers by Synchrotron X-Ray Topography,"
    13th International Conference on Defects-Recognition, Imaging and Physics in Semiconductors (DRIP-XIII), P-12,
    (Wheeling, WV, USA, September 13-17, 2009).
  24. T. Hosoi, G. Okamoto, K. Kutsuki, T. Shimura, and H. Watanabe,
    "Significant Improvement in GeO2/Ge MOS Characteristics by in Situ Vacuum Annealing,"
    Prog. and Abst. of 5th Handai Nanoscience and Nanotechnology International Symposium, Abs. P2-22,
    (Icho-Kanikan, Osaka University, Osaka, Japan, September 01-03, 2009).
  25. T. Hosoi, Y. Kita, T. Shimura, K. Shiraishi, Y. Nara, K. Yamada, and H. Watanabe,
    "Experimental Verification of Interface Dipole Formation in Metal/high-k Gate Stacks,"
    Prog. and Abst. of 5th Handai Nanoscience and Nanotechnology International Symposium, Abs. P2-16,
    (Icho-Kanikan, Osaka University, Osaka, Japan, September 01-03, 2009).
  26. M. Saeki, H. Arimura, Y. Oku, N. Kitano, T. Hosoi, T. Shimura, and H. Watanabe,
    "Improved Electrical Properties and Effective Work Function Control of Metal/HfLaSiO/SiO2/Si Gate Stacks Fabricated by PVD-Based In-situ Process,"
    Prog. and Abst. of 5th Handai Nanoscience and Nanotechnology International Symposium, Abs. P2-10,
    (Icho-Kanikan, Osaka University, Osaka, Japan, September 01-03, 2009).
  27. K. Kutsuki, G. Okamoto, T. Hosoi, T. Shimura, and H. Watanabe,
    "Ge3N4 Gate Dielectrics Fabricated by High-Density Plasma Nitridation of Ge(100) Surfaces,"
    Prog. and Abst. of 5th Handai Nanoscience and Nanotechnology International Symposium, Abs. P2-4,
    (Icho-Kanikan, Osaka University, Osaka, Japan, September 01-03, 2009).
  28. K. Kutsuki, G. Okamoto, T. Hosoi, T. Shimura, and H. Watanabe,
    "Improved Physical and Electrical Properties of Ultrathin Germanium Oxides by High-Density Plasma Nitridation,"
    Prog. and Abst. of 5th Handai Nanoscience and Nanotechnology International Symposium, Abs. P2-3,
    (Icho-Kanikan, Osaka University, Osaka, Japan, September 01-03, 2009).
  29. C. Yoshimoto, T. Hashimoto, T. Hosoi, T. Shimura, and H. Watanabe,
    "Fabrication of Ge Nano-Wires on Insulators Using Lateral Liquid-Phase Epitaxy,"
    Prog. and Abst. of 5th Handai Nanoscience and Nanotechnology International Symposium, Abs. P1-23,
    (Icho-Kanikan, Osaka University, Osaka, Japan, September 01-03, 2009).
  30. T. Shimura, Y. Okamoto, S. Daisuke, T. Inoue, T. Hosoi, and H. Watanabe,
    "Synchrotron X-ray Diffraction Studies of Thermal Oxidation of Si and SiGe," invited
    Meet. Abstr. - 215th ECS Meeting, #817,
    (San Francisco, CA, USA, May 24-29, 2009).
  31. D. Shimokawa, Y. Okamoto, T. Inoue, T. Hosoi, T. Shimura, and H. Watanabe,
    "Synchrotron X-ray Diffraction Studies of Thermal Oxide of Strained SiGe on Si,"
    Ext. Abst. of First International Symposium on Atomically Controlled Fabrication Technology, pp.152-153,
    (Icho-Kaikan, Osaka University, Osaka, Japan, February 16-17, 2009).
  32. T. Hashimoto, C. Yoshimoto, T. Hosoi, T. Shimura, and H. Watanabe,
    "Lateral Liquid-Phase Epitaxy of Single-Crystal Germanium Wires on La2O3 Dielectrics,"
    Ext. Abst. of First International Symposium on Atomically Controlled Fabrication Technology, pp.150-151,
    (Icho-Kaikan, Osaka University, Osaka, Japan, February 16-17, 2009).
  33. Y. Kagei, M. Harada, Y. Watanabe, T. Hosoi, T. Shimura, S. Mitani, Y. Nakano, T. Nakamura, and H. Watanabe,
    "4H-SiC MIS Devices with AION/SiO2/SiC Gate Structures,"
    Ext. Abst. of First International Symposium on Atomically Controlled Fabrication Technology, pp.148-149,
    (Icho-Kaikan, Osaka University, Osaka, Japan, February 16-17, 2009).
  34. Y. Watanabe, M. Harada, Y. Kagei, K. Kozono, T. Kirino, S. Mitani, Y. Nakano, T. Nakamura, T. Hosoi, T. Shimura, and H. Watanabe,
    "Impact of a Treatment Combining Nitrogen Plasma Exposure and Forming Gas Annealing on Defect Passivation of SiO2/SiC Interfaces,"
    Ext. Abst. of First International Symposium on Atomically Controlled Fabrication Technology, pp.146-147,
    (Icho-Kaikan, Osaka University, Osaka, Japan, February 16-17, 2009).
  35. T. Inoue, D. Shimokawa, T. Hosoi, T. Shimura, Y. Imai, O. Sakata, S. Kimura, and H. Watanabe,
    "Synchrotron Microbeam X-ray Diffraction Analysis of Strain Relaxation Process during Ge Condensation,"
    Ext. Abst. of First International Symposium on Atomically Controlled Fabrication Technology, pp.144-145,
    (Icho-Kaikan, Osaka University, Osaka, Japan, February 16-17, 2009).
  36. H. Arimura, Y. Oku, N. Kitano, T. Hosoi, T. Shimura, and H. Watanabe,
    "Excellent Electrical Property and Flatband Voltage Controllability of HfLaSiO High-k Gate Dielectrics Fabricated by In-situ Proces,"
    Ext. Abst. of First International Symposium on Atomically Controlled Fabrication Technology, pp.142-143,
    (Icho-Kaikan, Osaka University, Osaka, Japan, February 16-17, 2009).
  37. K. Kutsuki, G. Okamoto, T. Hosoi, T. Shimura, and H. Watanabe,
    "Advantages of Fluorine Ion Implantation for Improving Ge3N4/Ge Interfaces,"
    Ext. Abst. of First International Symposium on Atomically Controlled Fabrication Technology, pp.140-141,
    (Icho-Kaikan, Osaka University, Osaka, Japan, February 16-17, 2009).
  38. T. Hosoi, Yuki Kita, T. Shimura, H. Watanabe, K .Shiraishi, Yasuo Nara, and K. Yamada,
    "Investigation of Flatband Voltage Instability in Metal/High-k Gate Stacks,"
    Ext. Abst. of First International Symposium on Atomically Controlled Fabrication Technology, pp.138-139,
    (Icho-Kaikan, Osaka University, Osaka, Japan, February 16-17, 2009).
  39. S. Uchida, S. Saitoh, T. Hosoi, H. Watanabe, and N. Zettsu,
    "Non-volatile Au Nanoparticle Memory Applications Enabled by Preciously Controlled Colloidal Self-Assembly,"
    Ext. Abst. of First International Symposium on Atomically Controlled Fabrication Technology, pp.126-127,
    (Icho-Kaikan, Osaka University, Osaka, Japan, February 16-17, 2009).
  40. T. Hosoi, K. Kutsuki, G. Okamoto, M. Saito, T. Shimura, and H. Watanabe,
    "Ge-MIS Devices with Ge3N4 Gate Dielectrics Fabricated by High-Density Plasma Nitridation,"
    Ext. Abst. of First International Symposium on Atomically Controlled Fabrication Technology, pp.32-33,
    (Icho-Kaikan, Osaka University, Osaka, Japan, February 16-17, 2009).
  41. H. Watanabe, H. Arimura, N. Kitano, Y. Oku, M. Saeki, Y. Naitou, N. Yamaguchi, M. Kosuda, T. Hosoi, and T. Shimura,
    "Fabrication of Advanced Metal/High-k Gate Stacks by Atomically Controlled in-situ PVD-based Method,"
    Ext. Abst. of First International Symposium on Atomically Controlled Fabrication Technology, pp.30-31,
    (Icho-Kaikan, Osaka University, Osaka, Japan, February 16-17, 2009).

ページの先頭へ戻る

国内会議/ Domestic Conferences

  1. 景井 悠介、桐野 嵩史、小園 幸平、箕谷 周平、中野 佑紀、中村 孝、吉越 章隆、寺岡 有殿、細井 卓治、志村 考功、渡部 平司,
    "4H-SiC(0001)基板表面のプラズマ窒化と高温水素ガスアニール処理によるSiC-MOS界面特性向上,"
    SiC及び関連ワイドギャップ半導体研究会 第18回講演会, P-79, pp.177-178,
    (神戸国際会議場, December 17-18, 2009).
  2. 小園 幸平、景井 悠介、桐野 嵩史、箕谷 周平、中野 佑紀、中村 孝、細井 卓治、志村 考功、渡部 平司,
    "導電性原子間力顕微鏡による4H-SiC(0001)熱酸化膜の局所絶縁劣化現象の観察,"
    SiC及び関連ワイドギャップ半導体研究会 第18回講演会, P-41, pp.104-105,
    (神戸国際会議場, December 17-18, 2009).
  3. 桐野 嵩史、景井 悠介、岡本 学、James Harries、吉越 章隆、寺岡 有殿、箕谷 周平、中野 佑紀、中村 孝、細井 卓治、志村 考功、渡部 平司,
    "放射光XPSによる熱酸化SiO2/4H-SiC界面のエネルギーバンド構造分析,"
    SiC及び関連ワイドギャップ半導体研究会 第18回講演会, P-27, pp.80-81,
    (神戸国際会議場, December 17-18, 2009).
  4. 渡部 平司、景井 悠介、小園 幸平、桐野 崇史、渡邊 優、箕谷 周平、中野 佑紀、中村 孝、吉越 章隆、寺岡 有殿、細井 卓治、志村 考功,
    "プラズマ窒化技術とAlON/SiO2積層絶縁膜によるSiC-MOSデバイスの高機能化," invited
    SiC及び関連ワイドギャップ半導体研究会 第18回講演会, Ⅳ-1, pp.13-14,
    (神戸国際会議場, December 17-18, 2009).
  5. 斉藤 正太,細井 卓治,渡部 平司,是津 信行,
    "液体ナノプロセスによる金属ナノドットアレイ作製技術の開発,"
    2009年秋季 第70回応用物理学関係連合講演会予稿集, 9a-TA-5,
    (富山大学, September 08-11, 2009).
  6. 岡本 学,朽木 克博, 細井 卓治,志村 考功,渡部 平司,小川 慎吾,児島 幸子,村司 雄一,関 洋文,伊達 友嗣,山元 隆志,
    "電極形成前の真空アニールによるGeO2絶縁膜の特性改善機構の解明,"
    2009年秋季 第70回応用物理学関係連合講演会予稿集, 11p-TD-2,
    (富山大学, September 08-11, 2009).
  7. 齊藤 正一朗,細井 卓治,渡部 平司,小野 倫也,
    "第一原理計算によるGe/GeO2界面の酸化メカニズムの解明,"
    2009年秋季 第70回応用物理学関係連合講演会予稿集, 11a-TD-5,
    (富山大学, September 08-11, 2009).
  8. 朽木 克博,岡本 学,細井 卓治,志村 考功,渡部 平司,
    "極薄Ge熱酸化膜のプラズマ窒化により形成したGeON絶縁膜の電気特性評価,"
    2009年秋季 第70回応用物理学関係連合講演会予稿集, 11a-TD-4,
    (富山大学, September 08-11, 2009).
  9. 朽木 克博,景井 悠介,Harries James,吉越 章隆,寺岡 有殿,細井 卓治,志村 考功,渡部 平司,
    "界面特性に優れたAl2O3/ZrO2/GeO2積層構造ゲート絶縁膜の作製と評価,"
    2009年秋季 第70回応用物理学関係連合講演会予稿集, 11a-TD-2,
    (富山大学, September 08-11, 2009).
  10. 秀島 伊織,岡本 学,朽木 克博,細井 卓治,志村 考功,渡部 平司,
    "真空アニールによるGe-MOSキャパシタ電気特性改善効果のゲート電極依存性,"
    2009年秋季 第70回応用物理学関係連合講演会予稿集, 11a-TD-1,
    (富山大学, September 08-11, 2009).
  11. 奥 雄大,有村 拓晃,佐伯 雅之,北野 尚武, 小須田 求,細井 卓治,志村 考功,渡部 平司,
    "Poly-Si/TiN/HfSiO スタック構造におけるゲート電極成膜手法が実効仕事関数に及ぼす影響,"
    2009年秋季 第70回応用物理学関係連合講演会予稿集, 8p-TA-14,
    (富山大学, September 08-11, 2009).
  12. 下川 大輔,岡本 佑樹,井上 智之,細井 卓治,志村 考功,渡部 平司,
    "SiGeの熱酸化における残留秩序構造と増速酸化,"
    2009年秋季 第70回応用物理学関係連合講演会予稿集, 10a-P7-8,
    (富山大学, September 08-11, 2009).
  13. 景井 悠介,桐野 嵩史,箕谷 周平,中野 佑紀,中村 孝,細井 卓治,志村 考功,渡部 平司,
    "プラズマ窒化表面の熱酸化で形成したSiC-MOSデバイスへの高温水素アニール効果,"
    2009年秋季 第70回応用物理学関係連合講演会予稿集, 10p-M-17,
    (富山大学, September 08-11, 2009).
  14. 桐野 嵩史,景井 悠介,岡本 学,Harries James,吉越 章隆,寺岡 有殿,箕谷 周平,中野 佑紀,中村 孝,細井 卓治,志村 孝功,渡部 平司,
    "4H-SiC(0001)面の熱酸化により形成したSiO2/SiC界面の放射光XPS評価,"
    2009年秋季 第70回応用物理学関係連合講演会予稿集, 10p-M-13,
    (富山大学, September 08-11, 2009).
  15. 小園 幸平,箕谷 周平,中野 佑紀,中村 孝,細井 卓治,志村 考功,渡部 平司,
    "導電性AFMを用いた4H-SiC熱酸化膜の局所絶縁劣化現象の観測,"
    2009年秋季 第70回応用物理学関係連合講演会予稿集, 10p-M-12,
    (富山大学, September 08-11, 2009).
  16. 志村 考功,井上 智之,松宮 拓也,下川 大輔,細井 卓治,小椋 厚志,渡部 平司,
    "放射光X線トポグラフィによるSGOIウェーハの歪み及び格子面傾斜揺らぎの2次元分布測定,"
    2009年秋季 第70回応用物理学関係連合講演会予稿集, 10a-P6-13,
    (富山大学, September 08-11, 2009).
  17. 渡部 平司,
    "真空一貫原子制御プロセスによるMetal/High-kゲートスタックの機能設計,"
    2009年秋季 第70回応用物理学関係連合講演会予稿集, 9a-TC-6,
    (富山大学, September 08-11, 2009).
  18. 渡部 平司,齊藤 真里奈,齊藤 正一朗,岡本 学,朽木 克博,細井 卓治,小野 倫也,志村 考功,
    "GeO2/Ge界面形成の物理と電気特性改善技術," 依頼講演
    電子情報通信学会 シリコン材料・デバイス研究会 (SDM), 信学技報, vol. 109, no. 87, SDM2009-44, pp. 15-20,
    (東京大学 駒場リサーチキャンパス生産技術研究所, June 19, 2009).
    109(87), 15-20, 2009-06-12
  19. 橋元 達也,吉本 千秋,細井 卓治,志村 考功,渡部 平司,
    "液相横方向エピタキシャル成長によるGOI構造の作製 ―絶縁膜材料及びサイズ依存性評価―,"
    2009年春季 第56回応用物理学関係連合講演会予稿集, 30a-T-11,
    (筑波大学, March 30, 2009 - April 02, 2009).
  20. 佐伯 雅之,有村 拓晃,奥  雄大,北野 尚武,細井 卓治,志村 考功,渡部 平司,
    "炭素不純物によるTiN/HfSiONスタックの特性劣化と真空一貫プロセスの有用性検証,"
    2009年春季 第56回応用物理学関係連合講演会予稿集, 1a-ZT-12,
    (筑波大学, March 30, 2009 - April 02, 2009).
  21. 桐野 嵩史,景井 悠介,渡邊 優,小園 幸平,箕谷 周平,中野 佑紀,中村 孝,細井 卓治,志村 孝功,渡部 平司,
    "AlON/SiO2積層ゲート絶縁膜を用いた4H-SiC MISFETの特性,"
    2009年春季 第56回応用物理学関係連合講演会予稿集, 30p-F-17,
    (筑波大学, March 30, 2009 - April 02, 2009).
  22. 細井 卓治,渡邊 優,景井 悠介,桐野 嵩史,箕谷 周平,中野 佑紀,中村 孝,志村 考功,渡部 平司,
    "窒素プラズマ照射と水素ガスアニール複合処理による4H-SiC MOSFETの移動度向上,"
    2009年春季 第56回応用物理学関係連合講演会予稿集, 30p-F-16,
    (筑波大学, March 30, 2009 - April 02, 2009).
  23. 小園 幸平,箕谷 周平,中野 祐紀,中村 孝,細井 卓治,志村 考功,渡部 平司,
    "導電性AFMを用いた4H-SiC熱酸化膜の信頼性評価,"
    2009年春季 第56回応用物理学関係連合講演会予稿集, 30p-F-6,
    (筑波大学, March 30, 2009 - April 02, 2009).
  24. 景井 悠介,小園 幸平,朽木 克博,吉越 章隆,寺岡 有殿,細井 卓治,志村 考功,渡部 平司,
    "プラズマ窒化SiC表面の熱酸化により形成したSiO2/SiC界面の放射光XPS評価,"
    2009年春季 第56回応用物理学関係連合講演会予稿集, 30p-F-4,
    (筑波大学, March 30, 2009 - April 02, 2009).
  25. 志村 考功,井上 智之,下川 大輔,細井 卓治,小椋 厚志,渡部 平司,
    "放射光X線トポグラフィによる歪みSiウェーハの歪み及び格子面傾斜揺らぎの2次元分布測定,"
    2009年春季 第56回応用物理学関係連合講演会予稿集, 30p-E-16,
    (筑波大学, March 30, 2009 - April 02, 2009).
  26. 朽木 克博,岡本 学,細井 卓治,志村 考功,渡部 平司,
    "高密度プラズマ窒化により形成したGe3N4膜の電気特性評価,"
    応用物理学会 薄膜・表面物理分科会/シリコンテクノロジー分科会共催特別研究会アブストラクト集「ゲートスタック研究会 ―材料・プロセス・評価の物理―」(第14回研究会), pp.223-229,
    (東レ総合研修センター, 静岡県三島市, January 23-24, 2009).
  27. 下川 大輔,岡本 佑樹,井上 智之,細井 卓治,志村 考功,渡部 平司,
    "Si基板上に直接成長させた歪みSiGe層の熱酸化膜中の残留秩序構造,"
    応用物理学会 薄膜・表面物理分科会/シリコンテクノロジー分科会共催特別研究会アブストラクト集「ゲートスタック研究会 ―材料・プロセス・評価の物理―」(第14回研究会), pp.171-174,
    (東レ総合研修センター, 静岡県三島市, January 23-24, 2009).
  28. 岡本 学,朽木 克博,細井 卓治,志村 考功,渡部 平司,
    "窒化アルミナ絶縁層を有したHigh-k/Geゲートスタックの作製と特性評価,"
    応用物理学会 薄膜・表面物理分科会/シリコンテクノロジー分科会共催特別研究会アブストラクト集「ゲートスタック研究会 ―材料・プロセス・評価の物理―」(第14回研究会), pp.121-124,
    (東レ総合研修センター, 静岡県三島市, January 23-24, 2009).
  29. 橋元 達也,吉本 千秋,細井 卓治,志村 考功,渡部 平司,
    "局所横方向液相エピタキシャル成長による絶縁膜上Geワイヤの作製,"
    応用物理学会 薄膜・表面物理分科会/シリコンテクノロジー分科会共催特別研究会アブストラクト集「ゲートスタック研究会 ―材料・プロセス・評価の物理―」(第14回研究会), pp.97-100,
    (東レ総合研修センター, 静岡県三島市, January 23-24, 2009).
  30. 有村 拓晃,奥 雄大,佐伯 雅之,北野 尚武,細井 卓治,志村 考功,渡部 平司,
    "真空一貫プロセスによるLa添加HfSiO高誘電率絶縁膜の電気特性向上およびフラットバンド電圧制御,"
    応用物理学会 薄膜・表面物理分科会/シリコンテクノロジー分科会共催特別研究会アブストラクト集「ゲートスタック研究会 ―材料・プロセス・評価の物理―」(第14回研究会), pp.9-12,
    (東レ総合研修センター, 静岡県三島市, January 23-24, 2009).
    

ページの先頭へ戻る