研究成果

研究業績受賞歴

年度:2020 | 2019 | 2018 | 2017 | 2016 | 2015 | 2014 | 2013 | 2012 | 2011 | 2010 | 2009 | 2008 | 2007 | 2006 | 2005 | 2004

2008年度研究成果

学術論文 / Journal Papers

  1. T. Shimura, K. Kawamura, M. Asakawa, H. Watanabe, K. Yasutake, A. Ogura, K. Fukuda, O. Sakata, S. Kimura, H. Edo, S. Iida, and M. Umeno,
    "Characterization of strained Si wafers by X-ray diffraction techniques,"
    J. Mater. Sci. Mater. Electron., 19 (1) 189-193 (2008).
  2. T. Shimura, T. Inoue, Y. Okamoto, T. Hosoi, H. Edo, S. Iida, A. Ogura, and H. Watanabe,
    "Observation of Crystalline Imperfections in Supercritical Thickness Strained Silicon on Insulator Wafers by Synchrotron X-ray Topography,"
    ECS Transactions, 16 (10) 539-543 (2008).
  3. H. Arimura, Y. Naitou, N. Kitano, Y. Oku, N. Yamaguchi, M. Kosuda, T. Hosoi, T. Shimura, and H. Watanabe,
    "Dielectric and Interface Properties of TiO2/HfSiO/SiO2 Layered Structures Fabricated by in situ PVD Method,"
    ECS Transactions, 16 (5) 121-129 (2008).
  4. K. Yamabe, K. Murata, T. Hayashi, T. Tamura, M. Sato, A. Uedono, K. Shiraishi, N. Umezawa, T. Chikyow, H. Watanabe, Y. Nara, Y. Ohji, S. Miyazaki, K. Yamada, and R. Hasunuma,
    "Annealing Effect on Electronic Characteristics of HfSiON Films fabricated by Damascene Gate Process," invited
    ECS Transactions, 16 (5) 521-526 (2008).
  5. H. Watanabe, S. Yoshida, Y. Kita, T. Hosoi, T. Shimura, K. Shiraishi, Y. Nara, and K. Yamada,
    "Fundamental Aspects of Effective Work Function Instability of Metal/Hf-based High-k Gate Stacks," invited
    ECS Transactions, 16 (5) 27-38 (2008).
  6. H. Arimura, N. Kitano, Y. Naitou, Y. Oku, T. Minami, M. Kosuda, T. Hosoi, T. Shimura, and H. Watanabe,
    "Excellent Electrical Properties of TiO2/HfSiO/SiO2 Layered Higher-k Gate Dielectrics with Sub-1 nm Equivalent Oxide Thickness,"
    Appl. Phys. Lett., 92, (21) 212902 (2008).
  7. T. Ikuta, S. Fujita, H. Iwamoto, S. Kadomura, T. Shimura, H. Watanabe, and K. Yasutake,
    "Investigation of In-situ Boron-Doped Si Selective Epitaxial Growth by Comparison with Arsenic Doping,"
    Jpn. J. Appl. Phys., 47, (4) 2452-2455 (2008).
  8. K. Kutsuki, G. Okamoto, T. Hosoi, T. Shimura, and H. Watanabe,
    "Characteristics of Pure Ge3N4 Dielectric Layers Formed by High-Density Plasma Nitridation,"
    Jpn. J. Appl. Phys., 47, (4) 2415-2419 (2008).
  9. H. Arimura, S. Horie, Y. Oku, T. Minami, N. Kitano, M. Kosuda, T. Hosoi, T. Shimura, and H. Watanabe,
    "Structural Optimization of HfTiSiO High-k Gate Dielectrics by Utilizing In-Situ PVD-Based Fabrication Method,"
    Appl. Surf. Sci., 254, 6119-6122 (2018).
  10. T. Hosoi, K. Sano, A. Ohta, K. Makihara, H. Kaku, S. Miyazaki, and K. Shibahara,
    "Interface Properties and Effective Work Function of Sb-Predoped Fully Silicided NiSi Gate,"
    Surface and Interface Analysis, 40, (6-7) 1126-1130 (2008).
  11. T. Ikuta, S. Fujita, H. Iwamoto, H. Iwamoto, S. Kadomura, T. Shimura, H. Watanabe, and K. Yasutake,
    "Selective Epitaxial Growth of In Situ Carbon-Doped Silicon on Silicon Substrates,"
    Surface and Interface Analysis, 40, (6-7) 1122-1125 (2008).
  12. K. Ohmori, T. Chikyow, T. Hosoi, H. Watanabe, K. Nakajima, T. Adachi, A. Ishikawa, Y. Sugita, Y. Nara, Y. Ohji, K. Shiraishi, K. Yamabe, and K. Yamada,
    "Control of Crystalline Microstructures in Metal Gate Electrodes for Nano CMOS Devices," invited
    ECS Transactions, 13 (2) 201-207 (2008).
  13. T. Shimura, T. Inoue, Y. Okamoto, T. Hosoi, A. Ogura, O. Sakata, S. Kimura, H. Edo, S. Iida, and H. Watanabe,
    "Application of Synchrotron X-ray Diffraction Methods to Gate Stacks of Advanced MOS Devices," invited
    ECS Transactions, 13 (2) 75-82 (2008).
  14. Y. Naitou, H. Arimura, N. Kitano, S. Horie, T. Minami, M. Kosuda, H. Ogiso, T. Hosoi, T. Shimura, and H. Watanabe,
    "Charge trapping properties in Ti2/HfSiO/SiO2 gate stacks probed by scanning capacitance microscopy,"
    Appl. Phys. Lett., 92, (1) 012112 (2008).
  15. T. Ikuta, S. Fujita, H. Iwamoto, S. Kadomura, T. Shimura, H. Watanabe, and K. Yasutake,
    "In situ Arsenic-Droped SiC Selective Epitaxial Growth under Atmospheric Pressure,"
    Appl. Phys. Lett., 92, (4) 042109 (2008).

ページの先頭へ戻る

国際会議/ International Conferences

  1. T. Hosoi, Y. Oku, H. Arimura, M. Saeki, N. Kitano, T. Shimura, and H. Watanabe,
    "Formation of Advanced HfLaSiO/SiO2 Gate Dielectrics Utilizing PVD-based in-situ Fabrication Method,"
    39th IEEE Semiconductor Interface Specialists Conference (SISC), Session8, 8.3,
    (San Diego, CA, USA, December 03-05, 2008).
  2. K. Kutsuki, G. Okamoto, T. Hosoi, T. Shimura, and H. Watanabe,
    "Improved Electrical Properties of Ge3N4/Ge Interfaces by Fluorine Ion Implantation,"
    39th IEEE Semiconductor Interface Specialists Conference (SISC), Session2, P15,
    (San Diego, CA, USA, December 03-05, 2008).
  3. M. Sato, N. Umezawa, J. Shimokawa, H. Arimura, S. Sugino, A. Tachibana, M. Nakamura, N. Mise, S. Kamiyama, T. Morooka, T. Eimori, K. Shiraishi, K. Yamabe, H. Watanabe, K. Yamada, T. Aoyama, T. Nabatame, Y. Nara, and Y. Ohji,
    "Physical Model of the PBTI and TDDB of La Incorporated HfSiON Gate Dielectrics with Pre-existing and Stress-induced Defects,"
    IEEE International Electron Devices Meeting 2008 (IEDM 2008), pp.119-122,
    (San Francisco, CA, USA, December 15-17, 2008).
  4. T. Shimura, T. Inoue, T. Hosoi, A. Ogura, S. Iida, M. Umeno, and H. Watanabe,
    "Investigation of Structural Defects in Strained Si Wafers by Synchrotron X-ray Topography,"
    5th International Symposium on Advanced Science and Technology of Silicon Materials (JSPS Si Symposium), L-7, pp.266-270,
    (Kona, HI, USA, November 10-14, 2008).
  5. G. Okamoto, K. Kutsuki, T. Hosoi, T. Shimura, and H. Watanabe,
    "Electrical Properties of Ge3N4/Ge Gate Stacks Fabricated Using High-Density Plasma Nitridation"
    Extended Abstracts of 2008 International Workshop on Dielectric Thin Films for Future ULSI Devices Science and Technology (IWDTF), pp..63-64,
    (Tokyo Institute of Technology, Tokyo, November 05-07, 2008).
  6. T. Shimura, Y. Okamoto, T. Inoue, T. Hosoi, and H. Watanabe,
    "Residual Order in Thermal Oxide of Fully Strained SiGe Alloy on Si,"
    Extended Abstracts of 2008 International Workshop on Dielectric Thin Films for Future ULSI Devices Science and Technology (IWDTF), pp.53-54,
    (Tokyo Institute of Technology, Tokyo, November 05-07, 2008).
  7. K. Shiraishi, T. Nakayama, S. Miyazaki, A. Ohta, Y. Akasaka, H. Watanabe, Y. Nara, and K. Yamada,
    "Theoretical Investigations on Metal/High-k Interfaces,"
    9th International Conference on Solid-State and Integrated-Circuit Technology (ICSICT2008), E5.4,
    (Beijing, China, October 20-23, 2008).
  8. T. Shimura, T. Inoue, Y. Okamoto, T. Hosoi, H. Edo, S. Iida, A. Ogura, and H. Watanabe,
    "Observation of Crystalline Imperfections in Supercritical Thickness Strained Silicon on Insulator Wafers by Synchrotron X-ray Topography,"
    Meet. Abstr. - 214th ECS Meeting, #2436,
    (Honolulu, HI, USA, October 12-17, 2008).
  9. H. Arimura, Y. Naitou, N. Kitano, Y. Oku, N. Yamaguchi, M. Kosuda, T. Hosoi, T. Shimura, and H. Watanabe,
    "Dielectric and Interface Properties of TiO2/HfSiO/SiO2 Layered Structures Fabricated by in situ PVD Method,"
    Meet. Abstr. - 214th ECS Meeting, #1932,
    (Honolulu, HI, USA, October 12-17, 2008).
  10. K. Yamabe, K. Murata, T. Hayashi, T. Tamura, M. Sato, A. Uedono, K. Shiraishi, N. Umezawa, T. Chikyow, H. Watanabe, Y. Nara, Y. Ohji, S. Miyazaki, K. Yamada, and R. Hasunuma,
    "Annealing Effect on Electronic Characteristics of HfSiON Films fabricated by Damascene Gate Process," invited
    Meet. Abstr. - 214th ECS Meeting, #1976,
    (Honolulu, HI, USA, October 12-17, 2008).
  11. H. Watanabe, S. Yoshida, Y. Kita, T. Hosoi, T. Shimura, K. Shiraishi, Y. Nara, and K. Yamada,
    "Fundamental Aspects of Effective Work Function Instability of Metal/Hf-based High-k Gate Stacks," invited
    Meet. Abstr. - 214th ECS Meeting, #1923,
    (Honolulu, HI, USA, October 12-17, 2008).
  12. H. Wanatabe, H. Arimura, N. Kitano, Y. Naitou, Y. Oku, N. Yamaguchi, M. Kosuda, T. Hosoi, and T. Shimura,
    "Fabrication of Advanced TiO2/HfSiO/SiO2 Layered Higher-k Dielectrics by Atomically Controlled In-situ PVD-Based Method,"
    4th International Workshop on New Group IV Semiconductor Nanoelectronics (SiGe(C)2008), Z-08,
    (Tohoku University, Sendai, Japan, September 25-27, 2008).
  13. T. Inoue, D. Shimokawa, T. Hosoi, T. Shimura, Y. Imai, O. Sakata, S. Kimura, and H. Wanatabe,
    "Characterization of Strain Relaxation Process during Ge Condensation by Synchrotron Microbeam X-ray Diffraction,"
    2008 International Conference on Solid State Devices and Materials (SSDM 2008), P-1-21L,
    (Epochal Tsukuba, Ibaraki, Japan, September 23-26, 2008).
  14. T. Hosoi, M. harada, Y. Kagei, Y. Watanabe, T. Shimura, S. Mitani, Y. Nakano, T. Nakamura, and H. Watanabe,
    "AION/SiO2 Stacked Gate Dielectrics for 4H-SiC MIS Devices,"
    7th European Conference on Silicon Carbide and Related Materials (ECSCRM), Th1-4,
    (Barcelona, Spain, September 07-11, 2008).
  15. H. Watanabe, Y. Watanabe, M. Harada, Y. Kagei, T. Kirino, T. Hosoi, T. Shimura, S. Mitani, N. Nakano, and T. Nakamura,
    "Impact of a Treatment Combining Nitrogen Plasma Exposure and Forming Gas Annealing on Defect Passivation of SiO2/SiC Interfaces,"
    7th European Conference on Silicon Carbide and Related Materials (ECSCRM), WeLN-1,
    (Barcelona, Spain, September 07-11, 2008).
  16. T. Shimura, S. M. Suturin, N. S. Sokolov, A. G. Banshchikov, R. N. Kyutt, O. Sakata, J. Harada, M. Tabuchi, Y. Takeda,
    "Surface X-ray diffraction studies of CaF2(110)/Si(001) interface,"
    XXI Congress of the International Union of Crystallography (IUCr 2008), P12.09.31,
    (Grand Cube Osaka, Osaka, Japan, August 23-31, 2008).
  17. H. Watanabe, T. Hosoi, K. Kita, T. Shimura, K. Shiraishi, Y. Nara, and K. Yamada,
    "Origins of interface dipoles at p-metal/Hf-based high-k gate stacks,"
    International Conference on Quantum Simulators and Design 2008 (QSD2008),
    (National Museum of Emerging Science and Innovation (Miraikan), Tokyo, Japan, May 31, 2008 - June 03, 2008).
  18. K. Ohmori, T. Chikyow, T. Hosoi, H. Watanabe, K. Nakajima, T. Adachi, A. Ishikawa, Y. Sugita, Y. Nara, Y. Ohji, K. Shiraishi, K. Yamabe, and K. Yamada,
    "Control of Crystalline Microstructures in Metal Gate Electrodes for Nano CMOS Devices," invited
    Meet. Abstr. - 213th ECS Meeting, #717,
    (Phoenix, AZ, USA, May 18-22, 2008).
  19. T. Shimura, T. Inoue, Y. Okamoto, T. Hosoi, A. Ogura, O. Sakata, S. Kimura, H. Edo, S. Iida, and H. Watanabe,
    "Application of Synchrotron X-ray Diffraction Methods to Gate Stacks of Advanced MOS Devices," invited
    Meet. Abstr. - 213th ECS Meeting, #704,
    (Phoenix, AZ, USA, May 18-22, 2008).
  20. Y. Kagei, Y. Watanabe, M. Harada, T. Hosoi, T. Shimura, and H. Watanabe,
    "Improvement of thermally grown SiO2/SiC interfaces by plasma nitridation and post-metalization annealing,"
    2008 International Meeting for Future of Electron Devices, Kansai(IMFEDK 2008), pp.65-66,
    (Osaka University Nakanoshima Center, Osaka, Japan, May 22-23, 2008).
  21. C. Yoshimoto, H. Ohmi, T. Shimura, H. Kakiuchi, H. Watanabe, and K. Yasutake,
    "Formation of Polycrystalline-Si Thin Films Using Nanocrystalline Ge Nuclei,"
    2008 International Meeting for Future of Electron Devices, Kansai(IMFEDK 2008), pp.61-62,
    (Osaka University Nakanoshima Center, Osaka, Japan, May 22-23, 2008).
  22. T. Hashimoto, C. Yoshimoto, T. Hosoi, T. Shimura, and H. Watanabe,
    "Fabrication of Local Ge-on-Insulator Structures using Liquid Phase Selective Lateral Epitaxy,"
    2008 International Meeting for Future of Electron Devices, Kansai(IMFEDK 2008), pp.59-60,
    (Osaka University Nakanoshima Center, Osaka, Japan, May 22-23, 2008).
  23. G. Okamoto, K. Kutsuki, M. Harada, T. Hosoi, T. Shimura, and H. Watanabe,
    "Fabrication of High-k/Ge Gate Stacks with Al-oxynitride Dielectric Films,"
    2008 International Meeting for Future of Electron Devices, Kansai(IMFEDK 2008), pp.57-58,
    (Osaka University Nakanoshima Center, Osaka, Japan, May 22-23, 2008).
  24. T. Chikyow, T. Nagata, N. Umezawa, M. Yoshitake, K. Ohmori, T. Yamada, H. Watanabe, K. Shiraishi, and H. Koinuma,
    "Landscape of Materials Design for Future Nano Electronics and Combinatorial materials Exploration,"
    International Symposium on VLSI-TSA (Technology, Systems, and Applications),
    (Hsinchu, Taiwan, April 21-23, 2008).

ページの先頭へ戻る

国内会議/ Domestic Conferences

  1. 細井 卓治,景井 悠介,原田 真,渡邊 優,志村 考功,箕谷 周平,中野 佑紀,中村 孝,渡部 平司,
    "AION/SiO2積層ゲート絶縁膜を用いた 4H-SiC MIS デバイスの作製と評価,"
    SiC及び関連ワイドギャップ半導体研究会 第17回講演会, P-101, pp.209-210,
    (大田区産業プラザ, December 08-09, 2008).
  2. 渡邊 優,景井 悠介,桐野 崇史,箕谷 周平,中野 佑紀,中村 孝,細井 卓治,志村 考功,渡部 平司,
    "窒素プラズマ照射および水素ガスアニールによるSiO2/SiC界面欠陥終端化とその熱劣化過程の評価,"
    SiC及び関連ワイドギャップ半導体研究会 第17回講演会, P-91, pp.189-190,
    (大田区産業プラザ, December 08-09, 2008).
  3. 景井 悠介,渡邊 優,原田 真,細井 卓治,志村 考功,渡部 平司,
    "プラズマ窒化4H-SiC表面の熱酸化によるSiO2/SiC界面の特性向上,"
    SiC及び関連ワイドギャップ半導体研究会 第17回講演会, P-89, pp.185-186,
    (大田区産業プラザ, December 08-09, 2008).
  4. 渡部 平司,喜多 祐起,細井 卓治,志村 考功,白石 賢二,奈良 安雄,山田 啓作,
    "金属電極とハフニウム系高誘電率ゲート絶縁膜界面の実効仕事関数変調機構," Invited
    電子情報通信学会 シリコン材料・デバイス研究会 (SDM), 信学技報, vol. 108, no. 335, SDM2008-188, pp. 21-25,
    (京都大学, December 21, 2008).
  5. 渡部 平司,
    "真空一貫界面固相反応法による高品質Metal/High-kゲートスタック作製技術,"
    第49回真空に関する連合講演会, 29連合a-7,
    (くにびきメッセ, 島根県松江市, October 28-31, 2008).
  6. 渡部 平司, 有村 拓晃,奥 雄大,細井 卓治,志村 考功,北野 尚武,内藤 裕一,山口 述夫,小須田 求,
    "真空一貫原子制御PVDプロセスによるTiO2/HfSiO/SiO2積層構造 High-k絶縁膜の作製と電気特性評価,"
    電気学会・電子材料研究会資料, EFM-08-30, pp.35-38,
    (東北大学 電気通信研究所, September 27, 2008).
  7. 橋元 達也,吉本 千秋,細井 卓治,志村 考功,渡部 平司,
    "液相選択横方向エピタキシャル成長によるLocal GOI構造の作製,"
    2008年秋季 第69回応用物理学関係連合講演会予稿集, 3p-CH-3,
    (中部大学, September 02-05, 2008).
  8. 朽木 克博,岡本 学,細井 卓治,志村 考功,渡部 平司,
    "フッ素イオン注入によるGe3N4/Ge界面特性向上技術の検討,"
    2008年秋季 第69回応用物理学関係連合講演会予稿集, 4p-CB-10,
    (中部大学, September 02-05, 2008).
  9. 奥 雄大,有村 拓晃,佐伯 雅之,北野 尚武,細井 卓治,志村 考功,渡部 平司,
    "真空一貫界面固相反応成膜HfLaSiO High-kゲート絶縁膜の熱安定性評価,"
    2008年秋季 第69回応用物理学関係連合講演会予稿集, 3p-CB-4,
    (中部大学, September 02-05, 2008).
  10. 有村 拓晃,奥 雄大,北野 尚武,内藤 裕一,細井 卓治,志村 考功,渡部 平司,
    "積層構造TiO2/HfSiO/SiO2絶縁膜によるSub-1 nm EOT Metal/Higher-kゲートスタックの作製と評価,"
    2008年秋季 第69回応用物理学関係連合講演会予稿集, 3p-CB-2,
    (中部大学, September 02-05, 2008).
  11. 志村 考功,岡本 佑樹,井上 智之,細井 卓治,渡部 平司,
    "Si基板上に成長させた歪みSiGe層の熱酸化膜中の残留秩序構造,"
    2008年秋季 第69回応用物理学関係連合講演会予稿集, 2p-CD-3,
    (中部大学, September 02-05, 2008).
  12. 細井 卓治,景井 悠介,原田 真,渡邊 優,箕谷 周平,中野 佑紀,中村 孝,志村 考功,渡部 平司,
    "AlON/SiO2積層ゲート絶縁膜を用いた4H-SiC MISデバイスの高温特性,"
    2008年秋季 第69回応用物理学関係連合講演会予稿集, 2p-CE-16,
    (中部大学, September 02-05, 2008).
  13. 景井 悠介,渡邊 優,原田 真,細井 卓治,志村 考功,渡部 平司,
    "プラズマ窒化SiC表面の熱酸化によるMOS界面特性の向上,"
    2008年秋季 第69回応用物理学関係連合講演会予稿集, 2p-CE-14,
    (中部大学, September 02-05, 2008).
  14. 渡邊 優,原田 真,景井 悠介,桐野 崇史,箕谷 周平,中野 佑紀,中村 孝,細井 卓治,志村 孝功,渡部 平司,
    "水素アニール処理によるSiO2/SiC界面欠陥の終端化および熱劣化過程の評価,"
    2008年秋季 第69回応用物理学関係連合講演会予稿集, 2p-CE-13,
    (中部大学, September 02-05, 2008).
  15. 井上 智之,下川 大輔,細井 卓治,志村 考功,渡部 平司,今井 康彦,坂田 修身,木村 滋,
    "放射光X線マイクロビームによるSiGe酸化濃縮時における歪み緩和過程の局所領域評価,"
    2008年秋季 第69回応用物理学関係連合講演会予稿集, 3p-CE-4,
    (中部大学, September 02-05, 2008).
  16. 渡部 平司、喜多 祐起、細井 卓治、志村 考功、白石 賢二、奈良 安雄、山田 啓作,
    "金属電極とHf系高誘電率絶縁膜界面の実効仕事関数変調機構," Invited
    半導体・集積回路技術第72回シンポジウム, pp.73-76,
    (東京農工大学, July 10-11, 2008).
  17. 井上 智之,岡本 佑樹,小椋 厚志,江戸 太樹,飯田 敏,福田 一徳,坂田 修身,木村 滋,梅野 正隆,志村 考功,安武 潔,渡部 平司,
    "放射光X線回折法を用いた歪みSiウェーハの評価,"
    応用物理学会 薄膜・表面物理分科会/シリコンテクノロジー分科会共催特別研究会アブストラクト集「ゲートスタック研究会 ―材料・プロセス・評価の物理―」(第13回研究会), pp.329-332,
    (東レ総合研修センター, 静岡県三島市, January 14-15, 2008).
  18. 景井 悠介,喜多 祐起,細井 卓治,志村 考功,渡部 平司,白石 賢二,門島 勝,奈良 安雄,山田 啓作,
    "Metal/High-k 界面状態に依存した実効仕事関数変調機構の理解,"
    応用物理学会 薄膜・表面物理分科会/シリコンテクノロジー分科会共催特別研究会アブストラクト集「ゲートスタック研究会 ―材料・プロセス・評価の物理―」(第13回研究会), pp.273-277,
    (東レ総合研修センター, 静岡県三島市, January 14-15, 2008).
  19. 北野 尚武,有村 拓晃,堀江 伸哉,川原 孝昭,坂下 真介,西田 征男,由上 二郎,南 卓士,小須田 求,細井 卓治,志村 考功,渡部 平司,
    "真空一貫PVD成膜を用いて形成した TiN/HfSiON p-MISFETsの特性評価,"
    応用物理学会 薄膜・表面物理分科会/シリコンテクノロジー分科会共催特別研究会アブストラクト集「ゲートスタック研究会 ―材料・プロセス・評価の物理―」(第13回研究会), pp.261-265,
    (東レ総合研修センター, 静岡県三島市, January 14-15, 2008).
  20. 朽木 克博,岡本 学,細井 卓治,志村 考功,渡部 平司,
    "プラズマ窒化により形成したGe3N4膜の耐熱性おより耐湿性の評価,"
    応用物理学会 薄膜・表面物理分科会/シリコンテクノロジー分科会共催特別研究会アブストラクト集「ゲートスタック研究会 ―材料・プロセス・評価の物理―」(第13回研究会), pp.119-124,
    (東レ総合研修センター, 静岡県三島市, January 14-15, 2008).
  21. 有村 拓晃,堀江 伸哉,奥 雄大,南 卓士,北野 尚武,小須田 求,細井 卓治,志村 考功,渡部 平司,
    "TiO2/HfSiO/SiO2 積層構造によるSub-1nm EOT 高誘導率ゲート絶縁膜の実現,"
    応用物理学会 薄膜・表面物理分科会/シリコンテクノロジー分科会共催特別研究会アブストラクト集「ゲートスタック研究会 ―材料・プロセス・評価の物理―」(第13回研究会), pp.97-101,
    (東レ総合研修センター, 静岡県三島市, January 14-15, 2008).
  22. 門島 勝,杉田 義博,白石 賢二,渡部 平司,大田 晃生,宮崎 誠一,中島 清美,知京 豊裕,山田 啓作,網中 敏夫,黒澤 悦男,松木 武雄,青山 敬幸,奈良 安雄,大路 譲,
    "Metalゲート/HfSiON p-MOSFETにおける閾値上昇現象とその改善,"
    応用物理学会 薄膜・表面物理分科会/シリコンテクノロジー分科会共催特別研究会アブストラクト集「ゲートスタック研究会 ―材料・プロセス・評価の物理―」(第13回研究会), pp.13-18,
    (東レ総合研修センター, 静岡県三島市, January 14-15, 2008).

解説 / Reviews

  1. 渡部 平司,
    "MOS トランジスタ-ゲート絶縁膜形成技術の進展と今後の展開,"
    電気学会誌, Vol. 128, No. 3, pp.154-156,
    (March, 2008).
  2. 飯田 敏, 志村 考功, 梶原 堅太郎,
    "X線トポグラフィ研究会の現状報告,"
    Spring-8 利用者情報, Vol. 13, No. 1, pp.50-55,
    (January, 2008).
    

ページの先頭へ戻る