研究成果

研究業績受賞歴

年度:2020 | 2019 | 2018 | 2017 | 2016 | 2015 | 2014 | 2013 | 2012 | 2011 | 2010 | 2009 | 2008 | 2007 | 2006 | 2005 | 2004

2007年度研究成果

学術論文 / Journal Papers

  1. N. Kitano, S. Horie, H. Arimura, T. Kawahara, S. Sakashita, Y. Nishida, J. Yugami, T. Minami, M. Kosuda, T. Hosoi, T. Shimura, and H. Watanabe,
    "Enhanced Performance of Gate-First p-Channel Metal-Insulator-Srmiconductor Field-Effect Transistors with Polycrystalline Silicon/TiN/HfSiON Stacks Fabricated by Physical Vapor Deposition Based In situ Method,"
    Jpn. J. Appl. Phys., 46, (46) L1111-L1113 (2007).
  2. H. Komoda, C. Moritani, K. Takahashi, H. Watanabe, and K. Yasutake,
    "Sample Tilting Technique for Preventing Electrostatic Discharge during High-current FIB Gas-assisted Etching with XeF2,"
    Microelectronics Reliability, 47, (1) 74-81 (2007).
  3. K. Kutsuki, G. Okamoto, T. Hosoi, T. Shimura, and H. Watanabe,
    "Humidity-Dependent Stability of Amorphous Germanium Nitrides Fabricated by Plasma Nitridation,"
    Appl. Phys. Lett., 91 (16) 163501 (2007).
  4. M. Zhao, K. Nakajima, M. Suzuki, K. Kimura, M. Uematsu, K. Torii, S. Kamiyama, Y. Nara, H. Watanabe, K. Shiraishi, T. Chikyow, and K. Yamada,
    "Interface Reaction of High-k Gate Stack Structures Observed by High-Resolution RBS," Invited
    ECS Transactions, 11 (4) 103-115 (2007).
  5. K. Shiraishi, Y. Akasaka, G. Nakamura, M. Kadoshima, H. Watanabe, K. Ohmori, T. Chikyow, K. Yamabe, Y. Nara, Y. Ohji, and K. Yamada,
    "Theoretical Studies on Fermi Level Pining of Hf-Based High-k Gate Stacks Based on Thermodynamics," Invited
    ECS Transactions, 11 (4) 125-133 (2007).
  6. M. Kadoshima, Y. Sugita, K. Shiraishi, H. Watanabe, A. Ohta, S. Miyazaki, K. Nakajima, T. Chikyow, K. Yamada, T. Aminaka, E. Kurosawa, T. Matsuki, T. Aoyama, Y. Nara, and Y. Ohji,
    "Improvement in Fermi-Level Pinning of p-MOS Metal Gate Electrodes on HfSiON by Employing Ru Gate Electrodes,"
    ECS Transactions, 11 (4) 169-180 (2007).
  7. R. Hasunuma, T. Naito, C. Tamura, A. Uedono, K. Shiraishi, N. Umezawa, T. Chikyow, S. Inumiya, M. Sato, Y. Tamura, H. Watanabe, Y. Nara, Y. Ohji, S. Miyazaki, K. Yamada, and K. Yamabe,
    "Tight Distribution of Dielectric Characteristics of HfSiON in Metal Gate Devices," Invited
    ECS Transactions, 11 (4) 3-11 (2007).
  8. T. Kawahara, Y. Nishida, S. Sakashita, J. Yugami, N. Kitano, T. Minami, M. Kosuda, S. Horie, H. Arimura, T. Shimura, and H. Watanabe,
    "High Performance Gate-First pMISFET with TiN/HfSiON Gate Stacks Fabricated with PVD-Based In-Situ Method," Invited
    ECS Transactions, 11 (4) 585-599 (2007).
  9. A. Uedono, K. Shiraishi, K. Yamabe, S. Inumiya, Y. Akasaka, S. Kamiyama, T. Matsuki, T. Aoyama, Y. Nara, S. Miyazaki, H. Watanabe, N. Umezawa, T. Chikyow, S. Ishibashi, T. Ohdaira, R. Suzuki, and K. Yamada,
    "Vacancy-Type Defects in MOSFETs with High-k Gate Dielectrics Probed by Monoenergetic Positron Beams," Invited
    ECS Transactions, 11 (4) 81-90 (2007).
  10. A. Uedono, T. Naito, T. Otsuka, K. Ito, K. Shiraishi, K. Yamabe, S. Miyazaki, H. Watanabe, N. Umezawa, A. Hamid, T. Chikyow, T. Ohdaira, R. Suzuki, S. Ishibashi, S. Inumiya, S. Kamiyama, Y. Akasaka, Y. Nara, and K. Yamada,
    "Study of high-k gate dielectrics by means of positron annihilation," Invited
    Physica Status Solidi (c), 4, (10) 3599-3604 (2007).
  11. Y. Naitou, A. Ando, H. Ogiso, S. Kamohara, F. Yano, A. Nishida, and H. Watanabe,
    "Correlation Between Surface Topography and Static Capacitance Image of Ultrathin SiO2 Films Evaluated by Scanning Capacitance Microscopy,"
    Jpn. J. Appl. Phys., 46, (9A) 5992-5999 (2007).
  12. T. Ikuta, S. Fujita, H. Iwamoto, S. Kadomura, T. Shimura, H. Watanabe, and K. Yasutake,
    "Suppression of Surface Segregation and Heavy Arsenic Doping into Silicon during Selective Epitaxial Chemical Vapor Deposition under Atmospheric Pressure,"
    Appl. Phys. Lett., 91, (9) 092115 (2007).
  13. H. Kakiuchi, H. Ohmi, M. Harada, H. Watanabe, and K. Yasutake,
    "Low-Temperature Formation of SiO2 Layers Using a Two-step Atmospheric Pressure Plasma-enhanced Deposition-oxidation Process,"
    Appl. Phys. Lett., 91, (4) 161908 (2007).
  14. A. Uedono, T. Naito, T. Otsuka, K. Ito, K. Shiraishi, K. Yamabe, S. Miyazaki, H. Watanabe, N. Umezawa, T. Chikyow, T. Ohdaira, R. Suzuki, Y. Akasaka, S. Kamiyama, Y. Nara, and K. Yamada,
    "Characterization of Metal/High-k Structures Using Monoenergetic Posiron Beams,"
    Jpn. J. Appl. Phys., 46, (5B) 3214-3218 (2007).
  15. K. Shiraishi, Y. Akasaka, G. Nakamura, T. Nakayama, S. Miyazaki, H. Watanabe, A. Ohta, K. Ohmori, T. Chikyow, Y. Nara, K. Yamabe, and K. Yamada,
    "Theoretical Studies on Metal/High-k Gate Stacks,"
    ECS Transactions, 6 (1) 191-204 (2007).
  16. H. Watanabe, S. Horie, H. Arimura, N. Kitano, T. Minami, M. Kosuda, T. Simura, and K. Yasutake,
    "Interface Engineering by PVD-Based In-Situ Fabrication Method for Advanced Metal/High-k Gate Stacks,"
    ECS Transactions, 6 (3) 71-85 (2007).
  17. N. Umezawa, K. Shiraishi, K. Torii, M. Boero, T. Chikyow, H. Watanabe, K. Yamabe, T. Ohno, K. Yamada, and Y. Nara,
    "Role of Nitrogen Atoms in Reduction of Electron Charge Traps in Hf-Based High-k Dielectrics,"
    IEEE Electron Device Letters, 28 (5) 363 (2007).
  18. K. Ohomori, P. Ahmet, M. Yoshitake, T. Chikyow, K. Shiraisi, K. Yamabe, H. Watanabe, Y. Akasaka, Y. Nara, K. S. Chang, M .L. Green, and K. Yamada,
    "Influences of annealing in reducing and oxidizing ambients on flatband voltage properties of HfO2 gate stack structures,"
    J. Appl. Phys., 101, (8) 084118 (2007).
  19. S. Yoshida, Y. Watanabe, Y. Kita, T. Shimura, H. Watanabe, K. Yasutake, Y. Akasaka, Y. Nara, and K. Yamada,
    "Interface reactions at TiN/HfSiON gate stacs: Dependence on the electrode structure and deposition method,"
    Sci. Technol. Adv. Mater., 8, (3) 219-224 (2007).
  20. H. Kakiuchi, H. Ohmi, M. Harada, H. Watanabe, and K. Yasutake,
    "Formation of silicon dioxide layers at low temperatures (150-400 °C) by atmospheric pressure plasma oxidation of silicon,"
    Sci. Technol. Adv. Mater., 8, (3) 137-141 (2007).
  21. H. Kakiuchi, H. Ohmi, M. Harada, H. Watanabe, and K. Yasutake,
    "Significant Enhancement of Si Oxidation Rate at Low Temperatures by Atmospheric Pressure Ar/O2 Plasma,"
    Appl. Phys. Lett., 90, (15) 151904 (2007).
  22. K. Yasutake, N. Tawara, H. Ohmi, Y. Terai, H. Kakiuchi, H. Watanabe, and Y. Fujiwara,
    "Photoluminescence Study of Defect-Free Epitaxial Silicon Filmes Grown at Low Temperatures by Atmospheric Pressure Plasma Chemical Vapor Deposition,"
    Jpn. J. Appl. Phys., 46, (4B) 2510-2515 (2007).
  23. T. Ikuta, Y. Minami, S. Fujita, H. Iwamoto, S. Kadomura, T. Shimura, H. Watanabe, and K. Yasutake,
    "Atmospheric In situ Arsenic-Doped SiGe Selective Epitaxial Growth for Raised-Extension N-type Metal-Oxide-Semiconductor Field-Effect Transistor,"
    Jpn. J. Appl. Phys., 46, (4B) 1916-1920 (2007).
  24. H. Watanabe, S. Horie, T. Minami, N. Kitano, M. Kosuda, T. Shimura, and K. Yasutake,
    "Impact of Physical Vapor Deposition-Based In situ Fabrication Method on Metal/High-k Gate Stacs,"
    Jpn. J. Appl. Phys., 46, (4B) 1910-1915 (2007).
  25. Y. Naitou, H. Ogiso, S. Kamiyama, and H. Watanabe,
    "Investigation of local charged defects within high-temperature annealed HfSiON/SiO2 gate stacks by scanning capacitance spectroscopy,"
    Jpn. J. Appl. Phys., 101, (8) 083704 (2007).
  26. T. Ikuta, Y. Miyanami, S. Fujita, H. Iwamoto, S. Kadomura, T. Simura, H. Watanabe, and K. Yasutake,
    "Heavy arsenic doping of silicon grown by atmospheric pressure selective epitaxial cheical vapor deposition,"
    Sci. Technol. Adv. Mater., 8, (3) 142-145 (2007).
  27. M. Zhao, K. Nakajima, M. Suzuki, K. Kimura, M. Uematsu, H. Watanabe, K. Shiraishi, T. Chikyow, and K. Yamada,
    "Isotopic labeling study of the oxygen diffusion in HfO2/SiO2/Si,"
    Appl. Phys. Lett., 90, (13) 133510 (2007).
  28. H. Kakiuchi, H. Ohmi, M. Harada, H. Watanabe, and K. Yasutake,
    "Highly Efficient Oxidation of Silicon at Low Temperatures Using Atmospheric Pressure Plasma,"
    Appl. Phys. Lett., 90, (9) 091909 (2007).
  29. K. Manabe, T. Hase, T. Tatsumi, H. Watanabe, and K. Yasutake,
    "Mechanism of Suppressed Change in Effective Work Functions for Impurity-Doped Fully Silicided NiSi Electrodes on Hf-Based Gate Dielectrics,"
    Jpn. J. Appl. Phys., 46, 91-97 (2007).
  30. H. Komoda, C. Moritani, K. Takahashi, H. Watanabe, and K. Yasutake,
    "Sample Tilting Technique for Preventing Electrostatic Discharge during High-current FIB Gas-assisted Etching with XeF2,"
    Microelectronics Reliability, 47, (1) 74-81 (2007).

ページの先頭へ戻る

国際会議/ International Conferences

  1. K. Kutsuki, G. Okamoto, Y. Hosoi, A. Yoshigoe, Y. Tedaoka, T. Shimura, and H. Watanabe,
    "Thermal and Humidity Stability of Ge3N4 Thin Layers Fabricated by High-Density Plasma Nitridation,"
    2007 International Semiconductor Device Research Symposium (ISDRS),
    (College Park, MD, USA, December 12-14, 2007).
  2. K. Ohmori, T. Chikyow, T. Hosoi, H. Watanabe, K. Nakajima, T. Adachi, A. Ishikawa, Y. Sugita, Y. Nara, Y. Ohji, K. Shiraishi, K. Yamabe, and K. Yamada,
    "Wide Controllability of Flatband Voltage by Tuning Crystalline Microstructures in Metal Gate Electrodes,"
    IEEE International Electron Devices Meeting 2007 (IEDM 2007), pp.345-348,
    (Washington DC, USA, December 10-12, 2007).
  3. T. Hosoi, Y. Kita, Y.Kagei, T.Shimura, H. Watanabe, K. Shiraishi, Y. Nara, and K. Yamada,
    "A Comprehensive Study on Effective Work Function Modulation of Metal/High-k Gate Stacks,"
    38th IEEE Semiconductor Interface Specialists Conference (SISC), Session8, 8.3,
    (Arlington, VA, USA, December 06-08, 2007).
  4. H. Arimura, S. Horie, T. Minami, N. Kitano, M. Kosuda, T. Hosoi, T. Shimura, and H. Watanabe,
    "Structural Optimization of HfTiSiO High-k Gate Dielectrics by Utilizing In-Situ PVD-Based Fabrication Method,"
    Ext. Abst. and Program of 5th Int. Symp. on Control of Semiconductor Interface (ISCSI-V), pp.223-224,
    (Tokyo Metroppolitan University, Hachioji, Japan, November 12-14, 2007).
  5. T. Hosoi, K. Shibahara, M. Song, and K. Furuya,
    "In-Situ TEM Observation of Silicide Formation and Dopant Segregation in Ni Fully Silicided Gates,"
    Ext. Abst. and Program of 5th Int. Symp. on Control of Semiconductor Interface (ISCSI-V), pp.147-148,
    (Tokyo Metroppolitan University, Hachioji, Japan, November 12-14, 2007).
  6. K. Shiraishi, Y. Akasaka, M. Kadoshima, T. Nakayama, S. Miyazaki, H. Watanabe, T. Chikyow, Y. Nara, Y. Ohji, K. Yamabe, and K. Yamada,
    "Systematic Consideration on Si Substrate Depletion Appeared in p-Metal/Hf-Based High-k Gate Stacks,"
    Sixth Pacific Rim International Conference on Advanced Materials and Processing (PRICM6),
    (Jeju Island, Korea, November 05-09, 2007).
  7. T. Hosoi, K. Sano, A. Ohta, K. Makihara, H. Kaku, S. Miyazaki, and K. Shibahara,
    "Characterization of Sb Pileup at Fully Slicided NiSi/SiO2 Interface,"
    Ext. Abst. of International 21st Century COE Symposium on Atomistic Fabrication Technology 2007, pp.143-144,
    (Icho-Kaikan, Osaka University, Suita, Japan, October 15-17, 2007).
  8. N. Kitano, H. Arimura, S. Horie, T. Minami, M. Kosuda, T. Hosoi, T. Shimura, and H. Watanabe,
    "Enhanced Electrical Properties of TiN/HfSiON Gate Stacks by Using the PVD-based In-situ Fabrication Method,"
    Ext. Abst. of International 21st Century COE Symposium on Atomistic Fabrication Technology 2007, pp.141-142,
    (Icho-Kaikan, Osaka University, Suita, Japan, October 15-17, 2007).
  9. T. Ikuta, S. Fujita, H. Iwamoto, S. Kadomura, T. Shimura, H. Watanabe, and K. Yasutake,
    "Selective Epitaxial Growth of In-situ Carbon-Doped Si on Si Substrates,"
    Ext. Abst. of International 21st Century COE Symposium on Atomistic Fabrication Technology 2007, pp.139-140,
    (Icho-Kaikan, Osaka University, Suita, Japan, October 15-17, 2007).
  10. Y. Naitou, A. Ando, H. Ogiso, S. Kamiyama, Y. Nara, and H. Watanabe,
    "Single electron trapping within high-temperature annealed high-k dielectric films detected by scanning capacitance microscopy,"
    Ext. Abst. of International 21st Century COE Symposium on Atomistic Fabrication Technology 2007, pp.135-136,
    (Icho-Kaikan, Osaka University, Suita, Japan, October 15-17, 2007).
  11. H. Arimura, S. Horie, T. Minami, N. Kitano, M. Kosuda, T. Hosoi, T. Shimura, and H. Watanabe,
    "Interface Properties of HfTiSiO Gate Dielectrics Formed by In-Situ PVD-Based Fabrication Method,"
    Ext. Abst. of International 21st Century COE Symposium on Atomistic Fabrication Technology 2007, pp.133-134,
    (Icho-Kaikan, Osaka University, Suita, Japan, October 15-17, 2007).
  12. Y. Kita, S. Yoshida, T. Hosoi, T. Shimura, H. Watanabe, K. Shiraishi, Y. Nara, and K. Yamada,
    "Systematic Study on Interface Dipole of Metal/High-k Gate Stacks,"
    Ext. Abst. of International 21st Century COE Symposium on Atomistic Fabrication Technology 2007, pp.45-46,
    (Icho-Kaikan, Osaka University, Suita, Japan, October 15-17, 2007).
  13. M. Harada, Y. Watanabe, T. Hosoi, T. Shimura, and H. Watanabe,
    "Proposal of AION/SiO2 Layered Gate Dielectric for SiC MOS Devices,"
    Ext. Abst. of International 21st Century COE Symposium on Atomistic Fabrication Technology 2007, pp.13-14,
    (Icho-Kaikan, Osaka University, Suita, Japan, October 15-17, 2007).
  14. K. Kutsuki, G. Okamoto, T. Hosoi, T. Shimura, and H. Watanabe,
    "Thermal Stability of Pure Ge3N4 Dielectric Layers Formed by High-Density Plasma Nirridation,"
    Ext. Abst. of International 21st Century COE Symposium on Atomistic Fabrication Technology 2007, pp.11-12,
    (Icho-Kaikan, Osaka University, Suita, Japan, October 15-17, 2007).
  15. H. Kakiuchi, H. Ohmi, M. Harada, H. Watanabe, and K. Yasutake,
    "Low-Temperature Oxidation of Crystalline and Hydrogenated Amorphous Si Using Very High Frequency Plasma at Atmospheric Pressure,"
    Ext. Abst. of International 21st Century COE Symposium on Atomistic Fabrication Technology 2007, pp.7-8,
    (Icho-Kaikan, Osaka University, Suita, Japan, October 15-17, 2007).
  16. T. Kawahara, Y. Nishida, S. Sakashita, J. Yugami, N. Kitano, T. Minami, M. Kosuda, S. Horie, H. Arimura, T. Shimura, and H. Watanabe,
    "High Performance Gate-First pMISFET with TiN/HfSiON Gate Stacks Fabricated with PVD-Based In-Situ Method," Invited
    Meet. Abstr. - 212th ECS Meeting, #1167,
    (Washington DC, USA, October 07-12, 2007).
  17. M. Kadoshima, Y. Sugita, K. Shiraishi, H. Watanabe, A. Ohta, S. Miyazaki, K. Nakajima, T. Chikyow, K. Yamada, T. Aminaka, E. Kurosawa, T. Matsuki, T. Aoyama, Y. Nara, and Y. Ohji,
    "Improvement in Fermi-Level Pinning of p-MOS Metal Gate Electrodes on HfSiON by Employing Ru Gate Electrodes,"
    Meet. Abstr. - 212th ECS Meeting, #1128,
    (Washington DC, USA, October 07-12, 2007).
  18. K. Shiraishi, Y. Akasaka, G. Nakamura, M. Kadoshima, H. Watanabe, K. Ohmori, T. Chikyow, K. Yamabe, Y. Nara, Y. Ohji, and K. Yamada,
    "Theoretical Studies on Fermi Level Pining of Hf-Based High-k Gate Stacks Based on Thermodynamics," Invited
    Meet. Abstr. - 212th ECS Meeting, #1124,
    (Washington DC, USA, October 07-12, 2007).
  19. M. Zhao, K. Nakajima, M. Suzuki, K. Kimura, M. Uematsu, K. Torii, S. Kamiyama, Y.Nara, H. Watanabe, K. Shiraishi, T. Chikyow, and K. Yamada,
    "Interface Reaction of High-k Gate Stack Structures Observed by High-Resolution RBS," Invited
    Meet. Abstr. - 212th ECS Meeting, #1122,
    (Washington DC, USA, October 07-12, 2007).
  20. A. Uedono, K. Shiraishi, K. Yamabe, S. Inumiya, Y. Akasaka, S. Kamiyama, T. Matsuki, T. Aoyama, Y. Nara, S. Miyazaki, H. Watanabe, N. Umezawa, T.Chikyow, S. Ishibashi, T. Ohdaira, R. Suzuki, and K. Yamada,
    "Vacancy-Type Defects in MOSFETs with High-k Gate Dielectrics Probed by Monoenergetic Positron Beams," Invited
    Meet. Abstr. - 212th ECS Meeting, #1120,
    (Washington DC, USA, October 07-12, 2007).
  21. R. Hasunuma, T. Naito, C. Tamura, A. Uedono, K. Shiraishi, N. Umezawa, T. Chikyow, S. Inumiya, M. Sato, Y. Tamura, H. Watanabe, Y. Nara, Y. Ohji, S. Miyazaki, K. Yamada, and K. Yamabe,
    "Tight Distribution of Dielectric Characteristics of HfSiON in Metal Gate Devices," Invited
    Meet. Abstr. - 212th ECS Meeting, #1113,
    (Washington DC, USA, October 07-12, 2007).
  22. K. Kutsuki, G. Okamoto, T. Hosoi, T. Shimura, K. Yasutake, and H. Watanabe,
    "Characterization of Pure Ge3N4 Dielectric Layers Formed by High-Density Plasma Nitridation,"
    2007 International Conference on Solid State Devices and Materials (SSDM 2007), F-9-4, pp.1034-1035,
    (Tsukuba International Congress Center (EPOCHAL TSUKUBA), Ibaraki, Japan, September 18-21, 2007).
  23. K. Shiraishi, Y. Akasaka, G. Nakamura, M. Kadoshima, H. Watanabe, K. Ohmori, T.Chikyow, K. Yamabe, Y. Nara, Y. Ohji, and K. Yamada,
    "Systematic Study on Fermi level pining of Hf-based high-k gate stacks,"
    2007 International Conference on Solid State Devices and Materials (SSDM 2007), A-7-4, pp.844-845,
    (Tsukuba International Congress Center (EPOCHAL TSUKUBA), Ibaraki, Japan, September 18-21, 2007).
  24. T. Ikuta, Y. Miyanami, S. Fujita, H. Iwamoto, S. Kadomura, T. Shimura, H. Watanabe and K. Yasutake,
    "In-situ Doped Si Selective Epitaxial Growth for Raised Source/Drain Extension CMOSFET,"
    2007 International Conference on Solid State Devices and Materials (SSDM 2007), P-1-23, pp.368-369,
    (Tsukuba International Congress Center (EPOCHAL TSUKUBA), Ibaraki, Japan, September 18-21, 2007).
  25. N. Kitano, H. Arimura, S. Horie, T. Hosoi, T. Shimura, H. Watanabe, T. Kawahara, S. Sakashita, Y. Nishida, J. Yugami, T. Minami, and M. Kosuda,
    "Low Threshold Voltage Gate-First pMISFETs with Poly-Si/TiN/HfSiON Stacks Fabricated with PVD-based In-situ Solid Phase Interface Reaction (SPIR) Method,"
    2007 International Conference on Solid State Devices and Materials (SSDM 2007), A-1-3, pp.12-13,
    (Tsukuba International Congress Center (EPOCHAL TSUKUBA), Ibaraki, Japan, September 18-21, 2007).
  26. M .Kadoshima, Y. Sugita, K. Shiraishi, H. Watanabe, A. Ohta, S. Miyazaki, K. Nakajima, T. Chikyow, K. Yamada, T. Aminaka, E. Kurosawa, T. Matsuki, T. Aoyama, Y. Nara, and Y. Ohji,
    "Fermi-level pinning position modulation by AI-containing metal gate for cost-effective dual-metal/dual-high-k CMOS," Invited
    2007 Symposium on VLSI Technology Digest of Technical Papers, 5A-1, pp.66-67,
    (Rihga Royal Hotel Kyoto, Kyoto, Japan, June 12-16, 2007).
  27. K. Shiraishi, Y. Akasaka, G. Nakamura, T. Nakayama, S. Miyazaki, H. Watanabe, A. Ohta, K. Ohmori, T. Chikyow, Y. Nara, K. Yamabe, and K. Yamada,
    "Theoretical Studies on Metal/High-k Gate Stacks," Invited
    Meet. Abstr. - 211th ECS Meeting, #575,
    (Chicago, IL, USA, May 06-10, 2007).
  28. H. Watanabe, S. Horie, H. Arimura, N. Kitano, T. Minami, M. Kosuda, T. Simura, and K. Yasutake,
    "Interface Engineering by PVD-Based In-Situ Fabrication Method for Advanced Metal/High-k Gate Stacks," Invited
    Meet. Abstr. - 211th ECS Meeting, #655,
    (Chicago, IL, USA, May 06-10, 2007).
  29. K. Ohmori. P. Ahmet, K. Kakushima, H. Yoshikawa, K. Shiraishi, N. Umezawa, K. Nakajima, M. Yoshitake, K. Kobayashi, K. Yamabe, H. Watanabe, Y. Nara, T. Nakayama, M. L. Green, H. Iwai, K. Yamada, and T. Chikyow,
    "Controllability of Flatband Voltage in Metal/High-k Gate Stack Structures,"
    2007 MRS Spring Meeting, H7.10,
    (San Francisco, CA, USA, Apri 09-13, 2007).
  30. M. Harada, Y. Watanabe, S. Okda, T. Shimura, K. Yasutake, and H. Watanabe,
    "Investigation of 4H-SiC MIS Devices with AlON/SiO2 Layered Structures,"
    2007 MRS Spring Meeting, H7.7,
    (San Francisco, CA, USA, Apri 09-13, 2007).
  31. T. Ikoma, S. Fukuda, K. Endo, H. Watanabe, and S. Samukawa,
    "Formation of Low-Leakage-current Ultra-thin SiO2 Films Using Low-temperature Neutral Beam Oxidation,"
    2007 MRS Spring Meeting, H5.35,
    (San Francisco, CA, USA, Apri 09-13, 2007).
  32. Y.Kita, S. Yoshida, T. Shimura, K. Yasutake, H. Watanabe, K. Shiraishi, Y. Nara, and K. Yamada,
    "Systematic Study on Effective Work Function Instability of Metal/High-k Gate Stacks,"
    2007 MRS Spring Meeting, H4.9,
    (San Francisco, CA, USA, Apri 09-13, 2007).
  33. N. Kitano, S. Horie, T. Minamo, M. Kosuda,T. Shimura, K. Shiraishi, and H. Watanabe,
    "Improving the Electrical Properties of TiN/HfSiO Gate Stacks using the PVD-based In-situ Fabrication Method,"
    2007 MRS Spring Meeting, H4.6,
    (San Francisco, CA, USA, Apri 09-13, 2007).
  34. K. Shiraishi, T. Nakayama, S. Miyazaki, N. Umezawa, K. Yamada, H. Watanabe, T. Chikyow, Y. Nara, and K. Yamada,
    "Two type of Oxgen Vacancies in Hf-based High-k Dielectrics-Existence of "Alive" and "Dead" Oxygen Vacancies,"
    2007 MRS Spring Meeting, H1.9,
    (San Francisco, CA, USA, Apri 09-13, 2007).
  35. H. Arimura, S. Horie, T. Minami, N. Kitano, M. Kosuda, T. Shimura, K. Shiraishi, and H. Watanabe,
    "Characterization of TiN/HfSiON gate stacks fabricated by the PVD-based in-situ method,"
    2007 International Meeting for Future of Electron Devices, Kansai(IMFEDK 2007), pp.119-120,
    (Osaka University Nakanoshima Center, Osaka, Japan, April 23-25, 2007).
  36. Y. Watanabe, M. Harada, S. Okada, T. Shimura, K. Yasutake, and H. Watanabe,
    "Electric properties of 4H-SiC MIS devices with AlON/SiO2 stacked gate dielectrics,"
    2007 International Meeting for Future of Electron Devices, Kansai(IMFEDK 2007), pp.83-84,
    (Osaka University Nakanoshima Center, Osaka, Japan, April 23-25, 2007).
  37. M. Tanaka, T. Hosoi, and K. Sibahara,
    "Issues for Pd2Si and NiSi Fully Silicided Gate Formation,"
    2007 International Meeting for Future of Electron Devices, Kansai(IMFEDK 2007), pp.39-40,
    (Osaka University Nakanoshima Center, Osaka, Japan, April 23-25, 2007).

ページの先頭へ戻る

国内会議/ Domestic Conferences

  1. 吉本 千秋,南 網介,大参 宏昌,志村 考功,垣内 弘章,渡部 平司,安武 潔,
    "Ge微結晶核を用いた多結晶Si薄膜形成 (Ⅱ),"
    2007年秋季 第68回応用物理学関係連合講演会予稿集, No.2, p.866, 6a-P10-26,
    (北海道工業大学, September 04-08, 2007).
  2. 富田 祐吾,三嶽 善彦,坂本 仁志,渡部 平司,廣瀬 文彦,
    "塩化金属還元プラズマCVD法によるルテニウム薄膜の形成,"
    2007年秋季 第68回応用物理学関係連合講演会予稿集, No.2, p.863, 6a-P10-16,
    (北海道工業大学, September 04-08, 2007).
  3. 大毛利 健治,細井 卓治,渡部 平司,山田 啓作,知京 豊裕,
    "C添加による金属ゲート材料の結晶構造制御とアモルファス化,"
    2007年秋季 第68回応用物理学関係連合講演会予稿集, No.2, p.862, 6a-P10-13,
    (北海道工業大学, September 04-08, 2007).
  4. 細井 卓治,大田 晃生,白石 博之,宮崎 誠一,芝原 健太郎,
    "不純物添加Pd2Siフルシリサイドゲートの界面構造と仕事関数変調,"
    2007年秋季 第68回応用物理学関係連合講演会予稿集, No.2, p.861, 6a-P10-12,
    (北海道工業大学, September 04-08, 2007).
  5. 岡本 学,朽木 克博,有村 拓晃,原田 真,細井 卓治,志村 考功,渡部 平司,
    "窒化アルミナを用いたHigh-k/Geゲートスタックの作製と評価,"
    2007年秋季 第68回応用物理学関係連合講演会予稿集, No.2, p.825, 7p-ZM-6,
    (北海道工業大学, September 04-08, 2007).
  6. 細井 卓治,朽木 克博,岡本 学,原田 真,吉越 章隆,寺岡 有殿,志村 考功,渡部 平司,
    "放射光XPSによるGe3N4膜の化学結合状態及び熱脱離過程のその場観察,"
    2007年秋季 第68回応用物理学関係連合講演会予稿集, No.2, p.824, 7p-ZM-3,
    (北海道工業大学, September 04-08, 2007).
  7. 朽木 克博,岡本 学,細井 卓治,志村 考功,渡部 平司,
    "高密度プラズマ窒化により形成したGe3N4膜の大気暴露に対する安定性の評価,"
    2007年秋季 第68回応用物理学関係連合講演会予稿集, No.2, p.824, 7p-ZM-2,
    (北海道工業大学, September 04-08, 2007).
  8. 喜多 祐起,吉田 慎一,細井 卓治,志村 考功,渡部 平司,白石 賢二,門島 勝,奈良 安雄,山田 啓作,
    "Metal/High-kゲートスタックの界面形態が実効仕事関数に及ぼす影響,"
    2007年秋季 第68回応用物理学関係連合講演会予稿集, No.2, p.815, 6p-ZM-3,
    (北海道工業大学, September 04-08, 2007).
  9. 渡部 平司,堀江 伸哉,志村 考功,川原 孝昭,坂下 真介,西田 征男,由上 二郎,北野 尚武,南 卓士,小須田 求,
    "真空一貫個相反応PVD成膜によるTiN/HfSiONpMISFETの特性改善,"
    2007年秋季 第68回応用物理学関係連合講演会予稿集, No.2, p.814, 6a-ZM-10,
    (北海道工業大学, September 04-08, 2007).
  10. 有村 拓晃,北野 尚武,内藤 裕一,南 卓士,小須田 求,細井 卓治,志村 考功,渡部 平司,
    "HfTiSiO高誘電率ゲート絶縁膜におけるTi拡散が界面特性に及ぼす影響,"
    2007年秋季 第68回応用物理学関係連合講演会予稿集, No.2, p.810, 5a-ZQ-8,
    (北海道工業大学, September 04-08, 2007).
  11. 原田 真, 渡邊 優, 細井 卓治, 志村 考功, 渡部 平司,
    "AION/SiO2/SiC積層構造によるSiC-MOS界面の電気特性改善,"
    2007年秋季 第68回応用物理学関係連合講演会予稿集, No.1, p.427, 6p-ZN-11,
    (北海道工業大学, September 04-08, 2007).
  12. 井上 智之,岡本 佑樹,志村 考功,渡部 平司,小椋 厚志,江戸 太樹,飯田 敏,
    "広領域X線トポグラフィによる歪みSiウェーハの結晶性評価,"
    2007年秋季 第68回応用物理学関係連合講演会予稿集, No.1, p.403, 4p-E-6,
    (北海道工業大学, September 04-08, 2007).
  13. 門島 勝, 杉田 義博, 白石 賢二, 渡部 平司, 大田 晃生, 宮崎 誠一, 中島 清美, 知京 豊裕, 山田 啓作, 網中 敏夫, 黒澤 悦男, 松木 武雄, 青山 敬幸, 奈良 安雄, 大路 譲,
    "Ru電極を用いたp-metal ピニング現象の改善策の検討,"
    2007年秋季 第68回応用物理学関係連合講演会予稿集, No.0, p.52, 5p-ZQ-9,
    (北海道工業大学, September 04-08, 2007).
  14. 渡部 平司, 喜多 祐起, 吉田 慎一, 細井 卓治, 志村 考功,
    "金属/High-k界面物性のプロセス依存性の評価と理解,"
    2007年秋季 第68回応用物理学関係連合講演会予稿集, No.0, p.48, 5p-ZQ-2,
    (北海道工業大学, September 04-08, 2007).
  15. 井上 智之,玉木 隆幸,小関 泰之,伊東 一良,
    "超短光パルスを用いた超精密接合技術の提案,"
    精密工学会 2007年度関西地方定期学術講演会, A30,
    (大阪産業大学, August 09-10, 2007).
  16. 有村 拓晃, 堀江 伸哉, 南 卓士,北野 尚武,小須田 求,細井 卓治,志村 考功,渡部 平司,
    "真空一貫プロセスによるメタル電極/高誘電率絶縁膜ゲートスタックの不純物低減,"
    精密工学会 2007年度関西地方定期学術講演会, A07,
    (大阪産業大学, August 09-10, 2007).
  17. 門島 勝,杉田 義博,白石 賢二,渡部 平司,大田 晃生,宮崎 誠一,中島 清美,知京 豊裕,山田 啓作,網中 敏夫,黒澤 悦男,松木 武雄,青山 敬幸,奈良 安雄,大路 譲,
    "メタルゲート/HfSiONゲート絶縁膜ゲートスタックにおけるピニング現象の改善策検討,"
    第71回半導体集積回路技術シンポジウム講演論文集, 71, pp.15-18,
    (東京農工大学, July 12-13, 2007).
  18. 朽木 克博, 岡本 学,細井 卓治,志村 考功,安武 潔,渡部 平司,
    "ゲルマニウム窒化膜の形成と評価,"
    電子情報通信学会 シリコン材料・デバイス研究会 (SDM), 信学技報, vol. 107, no. 85, SDM2007-51, pp. 97-100,
    (広島大学, June 06-08, 2007).
  19. 細井 卓治,白石 博之,芝原 健太郎,
    "B,F添加によるPd2Siフルシリサイドゲートの仕事関数変調,"
    2007年春季 第54回応用物理学関係連合講演会予稿集, No.2, p.903, 29a-SM-9,
    (青山学院大学, March 27-30, 2007).
  20. 細井 卓治,白石 博之,芝原 健太郎,
    "B,F添加によるPd2Siフルシリサイドゲートの仕事関数変調,"
    2007年春季 第54回応用物理学関係連合講演会予稿集, No.2, p.903, 29a-SM-9,
    (青山学院大学, March 27-30, 2007).
  21. 福永 哲也,細井 卓治,芝原 健太郎,
    "Xe+プレアモルファス化注入を用いたGeのn+/p接合形成,"
    2007年春季 第54回応用物理学関係連合講演会予稿集, No.2, p.897, 28p-SM-11,
    (青山学院大学, March 27-30, 2007).
  22. 渡邊 優,原田 真,志村 考功,安武 潔,渡部 平司,
    "SiO2/SiC界面特性の酸化膜厚依存性とその改善法の検討,"
    2007年春季 第54回応用物理学関係連合講演会予稿集, No.1, p.443, 29a-N-5,
    (青山学院大学, March 27-30, 2007).
  23. 志村 考功,川村 浩太,浅川 正大,渡部 平司,安武 潔,小椋 厚志,福田 一徳,坂田 修身,木村 滋,
    "放射光X線マイクロビームとトポグラフィによる歪みSiウェーハの評価,"
    2007年春季 第54回応用物理学関係連合講演会予稿集, No.1, p.423, 27a-N-11,
    (青山学院大学, March 27-30, 2007).
  24. 桐畑 豊,田原 直剛,大参 宏昌,垣内 弘章,渡部 平司,安武 潔,
    "大気圧プラズマCVD法による高品質Siエピタキシャル膜の低温成長,"
    2007年春季 第54回応用物理学関係連合講演会予稿集, No.2, p.905, 29a-SM-14,
    (青山学院大学, March 27-30, 2007).
  25. 知京 豊裕,桜井 淳平,秦 誠一,松本 祐司,大毛利 健治,柳生 進二郎,吉武 道子,渡部 平司,山田 啓作,下河邉 明,
    "次世代メタルゲート材料としてのアモルファス合金の探査,"
    2007年春季 第54回応用物理学関係連合講演会予稿集, No.2, p.902, 29a-SM-6,
    (青山学院大学, March 27-30, 2007).
  26. 朽木 克博,岡本 学,志村 考功,安武 潔,渡部 平司,
    "プラズマ窒化によるゲルマニウム窒化膜の形成とその安定性評価,"
    2007年春季 第54回応用物理学関係連合講演会予稿集, No.2, p.864, 30a-ZH-7,
    (青山学院大学, March 27-30, 2007).
  27. 生駒 亨,福田 誠一,三浦 英生,遠藤 和彦,渡部 平司,江利口 浩二,寒川 誠二,
    "中性粒子ビーム酸化を用いた低温プロセスでの低リーク電流極薄SiO2膜の形成 (2),"
    2007年春季 第54回応用物理学関係連合講演会予稿集, No.2, p.855, 29a-ZH-12,
    (青山学院大学, March 27-30, 2007).
  28. 喜多 祐起,吉田 慎一,志村 考功,安武 潔,渡部 平司,白石 賢二,大田 晃生,宮崎 誠一,奈良 安雄,山田 啓作,
    "Hf系ゲート絶縁膜/電極界面の実効仕事関数変調機構の統一的理解,"
    2007年春季 第54回応用物理学関係連合講演会予稿集, No.2, p.848, 28p-ZH-12,
    (青山学院大学, March 27-30, 2007).
  29. 有村 拓晃,堀江 伸哉,南 卓士,北野 尚武,小須田 求,志村 考功,渡部 平司,
    "構造最適化によるHfTiSiO高誘電率ゲート絶縁膜の高性能化,"
    2007年春季 第54回応用物理学関係連合講演会予稿集, No.2, p.843, 28a-ZH-10,
    (青山学院大学, March 27-30, 2007).
  30. 細井 卓治, 佐野 孝輔, 法澤 公成, 芝原 健太郎,
    Pd2Siフルシリサイドゲート形成プロセスと仕事関数変調,"
    応用物理学会 薄膜・表面物理分科会/シリコンテクノロジー分科会共催特別研究会アブストラクト集「ゲートスタック研究会 ―材料・プロセス・評価の物理―」(第12回研究会), pp.289-292,
    (東レ総合研修センター, 静岡県三島市, February 02-03, 2007).
  31. 喜多 祐起, 吉田 慎一, 安藤 崇志, 田井 香織, 岩元 勇人, 志村 考功, 渡部 平司, 安武 潔,
    次世代nMOSFET用HfSix/HfO2/Siゲートスタックの界面反応メカニズム,"
    応用物理学会 薄膜・表面物理分科会/シリコンテクノロジー分科会共催特別研究会アブストラクト集「ゲートスタック研究会 ―材料・プロセス・評価の物理―」(第12回研究会), pp.283-287,
    (東レ総合研修センター, 静岡県三島市, February 02-03, 2007).
  32. 志村 考功, 清水 教弘, 堀内 慎一郎, 渡部 平司, 安武 潔, 梅野 正隆,
    TiN/HfSiONゲートスタック構造の実効仕事関数評価と制御指針,"
    応用物理学会 薄膜・表面物理分科会/シリコンテクノロジー分科会共催特別研究会アブストラクト集「ゲートスタック研究会 ―材料・プロセス・評価の物理―」(第12回研究会), pp.143-147,
    (東レ総合研修センター, 静岡県三島市, February 02-03, 2007).
  33. 大田 晃生, 宮崎 誠一, 赤坂 泰志, 渡部 平司, 白石 賢二, 山田 啓作, 犬宮 誠治, 奈良 安雄,
    TiN/HfSiONゲートスタック構造の実効仕事関数評価と制御指針,"
    応用物理学会 薄膜・表面物理分科会/シリコンテクノロジー分科会共催特別研究会アブストラクト集「ゲートスタック研究会 ―材料・プロセス・評価の物理―」(第12回研究会), pp.103-108,
    (東レ総合研修センター, 静岡県三島市, February 02-03, 2007).
  34. 堀江 伸哉, 南 卓士, 北野 尚武, 小須田 求, 志村 考功, 白石 賢二, 渡部 平司,
    真空一貫PVD成膜により作製したTiN/HfSiONゲートスタックの構造および電気特性評価,"
    応用物理学会 薄膜・表面物理分科会/シリコンテクノロジー分科会共催特別研究会アブストラクト集「ゲートスタック研究会 ―材料・プロセス・評価の物理―」(第12回研究会), pp.55-59,
    (東レ総合研修センター, 静岡県三島市, February 02-03, 2007).
  35. 安藤 崇志, 平野 智之, 田井 香織, 、山口 晋平, 加藤 孝義, 萩本 賢哉, 渡辺 浩二, 山本 亮, 神田 さおり, 長野 香, 寺内 佐苗, 館下 八州志, 田川 幸雄, 斉藤 正樹, 岩元 勇人, 吉田 慎一, 渡部 平司, 長島 直樹, 門村 新,
    "HfSix電極からのSi拡散を利用した極薄 (EOT 〉1nm) HfO2ゲート絶縁膜の形成とハイパフォーマンスデバイスへの適用,"
    応用物理学会 薄膜・表面物理分科会/シリコンテクノロジー分科会共催特別研究会アブストラクト集「ゲートスタック研究会 ―材料・プロセス・評価の物理―」(第12回研究会), pp.25-30,
    (東レ総合研修センター, 静岡県三島市, February 02-03, 2007).

解説 / Reviews

  1. 渡部 平司, 志村 考功, 南 卓士, 北野 尚武, 小須田 求,
    "低損傷スパッタ成膜装置を用いた真空一貫メタル電極/高誘電率絶縁膜ゲートスタック作製技術の提案,"
    キヤノンアネルバ技報, Vol. 13, pp.5-11,
    (March, 2007).

    H. Wtanabe, T. Shimura, T. Minami, N. Kitano and M. Kosuda
    "Novel In-situ Fabrication Method for High-quality metal/High-k Gate Stacks by Utilizing Low-damage Sputtering System,"
    CANON ANELVA CORPORATION Technical Reports, Vol. 13, pp.5-11,
    (March, 2007).
  2. 志村 考功,
    "放射光X線回折による埋め込み酸化膜の構造解析,"
    応用物理学会 薄膜・表面物理分科会 News Letter -界面を非破壊で見る先端分析技術-, No.129, pp.41-50,
    (March, 2007).

新聞記事 / Newspaper Articles

  1. 細井 卓治, 渡部 平司,
    "消費電力を1/10に -駆動能力が向上- ,"
    日経産業新聞,
    (December, 2007).
  2. 細井 卓治, 渡部 平司,
    "ゲート金属の結晶性制御 -早大などが新技術- ,"
    化学工業日報,
    (December, 2007).
  3. 細井 卓治, 渡部 平司,
    "電極組成変え電圧制御,"
    日刊工業新聞,
    (December, 2007).
  4. 細井 卓治, 渡部 平司,
    "新CMOSしきい値制御技術 -32/22ナノ世代、ばらつき低減に効果-,"
    電波新聞,
    (December, 2007).
  5. 渡部 平司,
    "しきい値電圧制御に成功(セリートなど) -45ナノ世代以降の次世代トランジスタ-,"
    日刊工業新聞,
    (June, 2007).
    

ページの先頭へ戻る