研究成果

研究業績受賞歴

年度:2020 | 2019 | 2018 | 2017 | 2016 | 2015 | 2014 | 2013 | 2012 | 2011 | 2010 | 2009 | 2008 | 2007 | 2006 | 2005 | 2004

2006年度研究成果

学術論文 / Journal Papers

  1. Y. Akasaka, G. Nakajima, K. Shiraishi, N. Umezawa, K. Yamabe, O. Ogawa, M. Lee, T. Amiaka, T. Kasuya, H. Watanabe, T. Chikyow, F. Ootsuka, Y. Nara, and K. Nakamura,
    "Modified Oxygen Vacancy Induced Fermi Level Pinning Model Extendable to P-metal Pinning,"
    Jpn. J. Appl. Phys., 45, (49) L1289-L1292 (2006).
  2. K. Manabe, T. Hase, T. Tatsumi, H. Watanabe, and K. Yasutake,
    "Mechanism for Fermi Level Pinning at Electrode/Hf-Based Dielectric Interface: Systematic Study of Dependence of Effective Work Functions for Polycrystalline Silicon and Fully Silicided NiSi Electrodes on Hf Density at Interface,"
    Jpn. J. Appl. Phys., 45, (12) 9053-9057 (2006).
  3. H. Komoda, M. Yoshida, Y. Yamamoto, K. Iwasaki, I. Nakatani, H. Watanabe, and K. Yasutake,
    "Novel Charge Neutralization Techniques Applicable to Wide Current Range of FIB Processing in FIB-SEM Combined System,"
    Microelectronics Reliability, 46, (12) 2085-2095 (2006).
  4. T. Nakayama, K. Shiraishi, S. Miyazaki, Y. Akasaka, T. Nakaoka, K. Torii, A. Ohta, P. Ahmet, K. Ohmori, N. Umezawa, H. Watanabe, T. Chikyow, Y. Nara, H. Iwai, and K. Yamada,
    "Physics of Metal/High-k Interfaces,"
    ECS Transactions, 3, (3) 129-140 (2006).
  5. K. Ohmori, P. Ahmet, K. Shiraishi, K. Yamada, H. Watanabe, Y. Akasaka, N. Umezawa, K. Nakajima, M. Yoshitake, T. Nakayama, K. S. Chang, K. Kakushima, Y. Nara, M. L. Green, H. Iwai, K. Yamada, and T. Chikyow,
    "Wide Controllability of Flatband Voltage in La2O3 Gate Stack Structures - Remarkable Advantages of La2O3 over HfO2 -,"
    ECS Transactions, 3, (3) 351-362 (2006).
  6. T. Shimura, M. Shimizu, S. Horiuchi, H. Watanabe, and K. Yasutake,
    "Oxidation Saturation of SiGe Alloy on Silicon-on-Insulator Wafers,"
    ECS Transactions, 3, (7) 1033-1037 (2006).
  7. K. Yasutake, H. Watanabe, H. Ohmi, and H. Kakiuchi,
    "Ge Nuclei for Fabrication of Poly-Si Thin Films on Glass Substrates,"
    ECS Transactions, 3, (8) 215-225 (2006).
  8. H. Ohmi, H. Kakiuchi, K. Nishijima, H. Watanabe, and K. Yasutake,
    "Low-Temperature Crystallization of Amorphous Silicon by Atmospheric-Pressure Plasma Treatment in H2/He or H2/Ar Mixture,"
    Jpn. J. Appl. Phys., 45, (10B) 8488-8493 (2006).
  9. H. Ohmi, H. Kakiuchi, N. Tawara, T. Wakamiya, T. Shimura, H. Watanabe, and K. Yasutake,
    "Low-Temperature Growth of Epitaxial Si Films by Atmospheric Pressure Plasma Chemical Vapor Deposition Using Porous Carbon Electrode,"
    Jpn. J. Appl. Phys., 45, (10B) 8424-8429 (2006).
  10. K. Fukuda, T. Yoshida, T. Shimura, K. Yasutake, M. Umeno, and S. Iida,
    "White X-ray Topography of Lattice Undulation in Bonded Silicon-on-Insulator Wafers,"
    Jpn. J. Appl. Phys., 45, (9A) 6795-6799 (2006).
  11. T. Shimura, M. Shimizu, S. Horiuchi, H. Watanabe, K. Yasutake, and M. Umeno,
    "Self-limiting oxidation of SiGe alloy on silicon-on-insulator wafers,"
    Appl. Phys. Lett., 89 (11) 111923 (2006).
  12. A. Uedono, T. Naito, T. Otsuka, K. Shiraishi, K. Yamabe, S. Miyazaki, H. Watanabe, N. Umezawa, T. Chikyow, Y. Akasaka, S. Kamiyama, Y. Nara, and K. Yamada,
    "Introduction of defects into HfO2 gate dielectrics by metal-gate deposition studied by x-ray photoelectron spectroscopy and positron annihilation,"
    J. Appl. Phys., 100, (6) 064501 (2006).
  13. N. Umezawa, K. Shiraishi, T. Ohno, M. Boero, H. Watanabe, T. Chikyow, K. Torii, K. Yamabe, K. Yamada, and Y. Nara,
    "Unique Behavior of F-centers in High-k Hf-based Oxides,"
    Physica B, 376-377, 392-394 (2006).
  14. H. Watanabe, S. Yoshida, Y. Watanabe, T. Shimura, K. Yasutake, Y. Akasaka, Y. Nara, K. Nakamura, and K. Yamada,
    "Thermal Degradation of HfSiON Dielectrics Caused by TiN Gate Electrodes and Its Impact on Electrical Properties,"
    Jpn. J. Appl. Phys., 45, (4B) 2933-2938 (2006).
  15. K. Yasutake, H. Ohmi, H. Kakiuchi, T. Wakamiya, and H. Watanabe,
    "Characterization of Epitaxial Si Films Grown by Atmospheric Pressure Plasma Chemical Vapor Deposition Using Cylindrical Rotary Electrode,"
    Jpn. J. Appl. Phys., 45, (4B) 3592-3597 (2006).
  16. K. Manabe, K. Takahashi, T. Hase, N. Ikarashi, M. Oshida, T. Tatsumi, H. Watanabe, H. Watanabe, and K. Yasutake,
    "Analysis of Origin of Threshold Voltage Change Induced by Impurity in Fully Silicided NiSi/SiO2 Gate Stacks,"
    Jpn. J. Appl. Phys., 45, (4B) 2919-2924 (2006).
  17. K. Shiraishi, T. Nakayama, Y. Akasaka, S. Miyazaki, T. Nakaoka, K. Ohmori, P. Ahmet, K. Torii, H. Watanabe, T. Chikyow, Y. Nara, H. Iwai, and K. Yamada,
    "New Theory of Effective Workfunctions at Metal/High-k Dielectric Interfaces -Application to Metal/High-k HfO2 and La2O3 Dielectric Interfaces-,"
    ECS Transactions, 2, (1) 25-40 (2006).
  18. N. Umezawa, K. Shiraishi, H. Watanabe, K. Torii, Y. Akasaka, S. Inumiya, M. Boero, A. Uedono, S. Miyazaki, T. Ohno, T. Chikyow, K. Yamabe, Y. Nara, and K. Yamada,
    "Extensive Studies for Effects of Nitrogen Incorporation into Hf-based High-k Gate Dielectrics,"
    ECS Transactions, 2, (1) 63-78 (2006).
  19. M. Tagawa, C. Sogo, K. Yokota, A. Yoshigoe, Y. Teraoka, and T. Shimura,
    "Oxidation of Si(001) with a hyperthermal O-atom beam at room temperature: Suboxide distribution and residual order structure,"
    Appl. Phys. Lett., 88 (13) 133512 (2006).

ページの先頭へ戻る

国際会議/ International Conferences

  1. S. Yoshida, Y. Kita, T. Ando, K. Tai, H. Iwamoto, T. Shimura, H. Watanabe, and K. Yasutake,
    "Physical and Electrical Characterization of HfSix/HfO2 Gate Stacks for High-Performance nMOSFET Application,"
    37th IEEE Semiconductor Interface Specialists Conference (SISC), Session3, 3.3,
    (San Diego, CA, USA, December 07-09, 2006).
  2. K. Shiraishi, T. Nakayama, S. Okada, Y. Akasaka, S. Miyazaki, T. Nakaoka, A. Ohta, K. Torii, H. Watanabe, T. Chikyow, Y. Nara, and K. Yamada,
    "A New Theoretical Insight for the Schottky Barrier Heights,"
    International Conference on Quantum Simulators and Design (QSD2006),
    (Hiroshima University, Japan, December 03-05, 2006).
  3. H. Watanabe,
    "Interface Engineering of High-k Gate Dielectrics for Advanced CMOS," Invited
    2nd Handai Nanoscience and Technology International Symposium 2006,
    (Osaka University Nakanoshima Center, Osaka, Japan, November 20-22, 2006).
  4. A. Ohta, S. Miyazaki, Y. Akasaka, H. Watanabe, K. Shiraishi, K. Yamada, S. Inumiya, and Y. Nara,
    "A New Insight into Control of Fermi Level Pinning in TiN/HfSiON Gate Stack,"
    Extended Abstracts of 2006 International Workshop on Dielectric Thin Films for Future ULSI Devices Science and Technology (IWDTF-06), S5-4, pp.61-62,
    (Kawasaki City Industrial Promotion Hall, Kanagawa, November 08-10, 2006).
  5. T. Shimura, E. Mishima, K. Kawamura, H. Watanabe, and K. Yasutake,
    "Structural Change of the Interfacial SiO2 Layer between HfO2 layers and Si Substrates,"
    Extended Abstracts of 2006 International Workshop on Dielectric Thin Films for Future ULSI Devices Science and Technology (IWDTF-06), S4-3, pp.53-54,
    (Kawasaki City Industrial Promotion Hall, Kanagawa, November 08-10, 2006).
  6. A. Uedono, T. Naito, T. Otsuka, K. Shiraishi, K. Yamabe, S. Miyazaki, H. Watanabe, N. Umezawa, T. Chikyow, Y. Akasaka, S. Kamiyama, Y. Nara and K. Yamada,
    "Characterization of metal/high-k structures using a monoenergetic positron beam,"
    Extended Abstracts of 2006 International Workshop on Dielectric Thin Films for Future ULSI Devices Science and Technology (IWDTF-06), S4-2, pp.51-52,
    (Kawasaki City Industrial Promotion Hall, Kanagawa, November 08-10, 2006).
  7. Y. Naitou, A. Ando, H. Ogiso, H. Watanabe, and K. Yasutake,
    "Dopant Concentration Influence on Scanning Capacitance Microscopy Imaging in Ultrathin SiO2 Films,"
    Extended Abstracts of 2006 International Workshop on Dielectric Thin Films for Future ULSI Devices Science and Technology (IWDTF-06), P1-3, pp.21-22,
    (Kawasaki City Industrial Promotion Hall, Kanagawa, November 08-10, 2006).
  8. T. Shimura, M. Shimizu, S. Horiuchi, H. Watanabe, and K. Yasutake,
    "Oxidation Saturation of SiGe Alloy on Silicon-on-insulator Wafers,"
    Meet. Abstr. - 210th ECS Meeting, #1504,
    (Cancun, Mexico, November 02, 2006).
  9. K. Ohmori, P. Ahmet, K. Shiraishi, K. Yamabe, H. Watanabe, Y. Akasaka, N. Umezawa, K. Nakajima, M. Yoshitake, T. Nakayama, K.-S. Chang, K. Kakushima, Y. Nara, M.L. Green, H. Iwai, K. Yamada, and T. Chikyow, Invited
    "Wide Controllability of Flatband Voltage in La2O3 Gate Stack Structures -Remarkable Advantages of La2O3 ove HfO2-,"
    Meet. Abstr. - 210th ECS Meeting, #1124,
    (Cancun, Mexico, November 01, 2006).
  10. K. Yasutake, H. Watanabe, H. Ohmi and H. Kakiuchi,
    "Ge Nuclei for Fabrication of Poly-Si Thin Films on Glass Substrates,"
    Meet. Abstr. - 210th ECS Meeting, #1576,
    (Cancun, Mexico, October 31, 2006).
  11. T. Nakayama, K. Shiraishi, S. Miyazaki, Y. Akasaka, T. Nakaoka, K. Torii, A. Ohta, P. Ahmet, K. Ohmori, N. Umezawa, H. Watanabe, T. Chikyow, Y. Nara, H. Iwai and K. Yamada,
    "Physics of Metal/High-k Interfaces," Invited
    Meet. Abstr. - 210th ECS Meeting, #1095,
    (Cancun, Mexico, October 30, 2006).
  12. K. Shiraishi, H. Takeuchi, Y. Akasaka, T. Nakayama, S. Miyazaki, T. Nakaoka, A. Ohta, H. Watanabe, N. Umezawa, K. Ohmori, P. Ahmet, K. Torii, T. Chikyow, Y. Nara, T-J. King Liu, H. Iwai, and K. Yamada,
    "Physics of inerfaces between gate electrodes and high-k dielectrics," Invited
    Proceedings of 8th International Conference on Solid-State and Integrated Circuit Technology (ICSICT-06), pp. 384 - 387,
    (Shanghai, China, October 23-26, 2006).
  13. Z. Ming, K. Nakajima, M. Suzuki, K. Kimura, M. Uematsu, K. Torii, S. Kamiyama, Y. Nara, H. Watanabe, K. Shiraishi, T. Chikyow, and K. Yamada,
    "Oxidation process of HfO2/SiO2/Si structures observed by high-resolution RBS,"
    Proceedings of 8th International Conference on Solid-State and Integrated Circuit Technology (ICSICT-06), pp. 392-395,
    (Shanghai, China, October 23-26, 2006).
  14. K. Ohmori, P. Ahmet, K. Shiraishi, K. Yamabe, H. Watanabe, Y. Akasaka, N. Umezawa, K. Nakajima, M. Yoshitake, T. Nakayama, K. S. Chang, K. Kakushima, Y. Nara, M. L. Green, H. Iwai, K. Yamada, and T. Chikyow,
    "Controllability of flatband voltage in high-k gate stack structures - remarkable advantage pof La2O3 over HfO2,"
    Proceedings of 8th International Conference on Solid-State and Integrated Circuit Technology (ICSICT-06), pp. 376-379,
    (Shanghai, China, October 23-26, 2006).
  15. T. Shimura, E. Mishima, K. Kawamura, H. Watanabe, and K. Yasutake,
    "Structural Change of the Thermal Oxide Layer on Si Substrates by Diffusion of Atomic Oxygen,"
    Extended Abstracts of International 21st Century COE Symposium on Atomistic Fabrication Technology, pp.57-58,
    (Icho-Kaikan, Osaka University, Suita, Osaka, Japan, October 19-20, 2006).
  16. M. Harada, H. Ohmi, H. Kakiuchi, H. Watanabe, and K. Yasutake,
    "Atmospheric Pressure Hydrogen Plasma Treatment of 4H-SiC(0001) Surfaces Using Porous Carbon Electrode,"
    Extended Abstracts of International 21st Century COE Symposium on Atomistic Fabrication Technology, pp.75-76,
    (Icho-Kaikan, Osaka University, Suita, Osaka, Japan, October 19-20, 2006).
  17. M. Harada, H. Kakiuchi, H. Ohmi, H. Watanabe, and K. Yasutake,
    "High Rate Oxidation of Si Surfaces by using Atmospheric Pressure Plasma,"
    Extended Abstracts of International 21st Century COE Symposium on Atomistic Fabrication Technology, pp.78-79,
    (Icho-Kaikan, Osaka University, Suita, Osaka, Japan, October 19-20, 2006).
  18. K. Manabe, T. Hase, T. Tatsumi, H. Watanabe, and K. Yasutake,
    "Systematic Study on Effective Work Functions for Poly-Si and Fully Silicided NiSi Electrodes on Hf-based Gate Dielectrics,"
    Extended Abstracts of International 21st Century COE Symposium on Atomistic Fabrication Technology, pp.151-152,
    (Icho-Kaikan, Osaka University, Suita, Osaka, Japan, October 19-20, 2006).
  19. Y. Naitou, A. Ando, H. Ogiso, S. Kamiyama, Y. Nara, H. Watanabe, and K. Yasutake,
    "The Origin of Long-range Contrast in Hf-silicate Films Observed by Scanning Capacitance Microscopy,"
    Extended Abstracts of International 21st Century COE Symposium on Atomistic Fabrication Technology, pp.153-154,
    (Icho-Kaikan, Osaka University, Suita, Osaka, Japan, October 19-20, 2006).
  20. K. Minami, C. Yoshimoto. H. Ohmi, T. Shimura, H. Kakiuchi, H. Watanabe, and K. Yasutake,
    "Fabrication of Polycrystalline Thin Films on Glass Substrates Using Ge Nano-Islands and Nuclei,"
    Extended Abstracts of International 21st Century COE Symposium on Atomistic Fabrication Technology, pp.65-66,
    (Icho-Kaikan, Osaka University, Suita, Osaka, Japan, October 19-20, 2006).
  21. S. Horie, T. Minami, N. Kitano, M. Kosuda, H. Watanabe, and K. Yasutake,
    "PVD-based In-situ Fabrication Method for Improving the Electrical Properties of Metal/High-k Gate Stacks,"
    Extended Abstracts of International 21st Century COE Symposium on Atomistic Fabrication Technology, pp.149-150,
    (Icho-Kaikan, Osaka University, Suita, Osaka, Japan, October 19-20, 2006).
  22. N. Tawara, H. Ohmi, Y. Terai, T. Shimura, H. Kakiuchi, H. Watanabe, Y. Fujiwara, and K. Yasutake,
    "Characterization of Epitaxial Si Films Grown at Low Temperatures by Atmospheric Pressure Plasma Chemical Vapor Deposition,"
    Extended Abstracts of International 21st Century COE Symposium on Atomistic Fabrication Technology, pp.69-70,
    (Icho-Kaikan, Osaka University, Suita, Osaka, Japan, October 19-20, 2006).
  23. S. Yoshida, Y. Watanabe, Y. Kita, T. Shimura, H. Watanabe, K. Yasutake, Y. Akasaka, Y. Nara, and K. Yamada,
    "Interface Reactions at TiN/HfSiON Gate Stacks Depending on the Electrode Structure and Deposition Method,"
    Extended Abstracts of International 21st Century COE Symposium on Atomistic Fabrication Technology, pp.147-148,
    (Icho-Kaikan, Osaka University, Suita, Osaka, Japan, October 19-20, 2006).
  24. S. Horiuchi, M. Shimizu, T. Shimura, H. Watanabe, and K. Yasutake,
    "Oxidation Rate Diminishment of SiGe Epitaxial Films on Silicon-on-insulator Wafers,"
    Extended Abstracts of International 21st Century COE Symposium on Atomistic Fabrication Technology, pp.155-156,
    (Icho-Kaikan, Osaka University, Suita, Osaka, Japan, October 19-20, 2006).
  25. K. Ohmori, P. Ahmet, K. Shiraishi, K. Yamabe, H. Watanabe, Y. Akasaka, N. Umezawa, K. Nakajima, M. Yoshitake, T. Nakayama, K.-S. Chang, K. Kakushima, Y. Nara, M.L. Green, H. Iwai, K. Yamada and T. Chikyow,
    "Wide Controllability of Flatband Voltage in La2O3 Gate Stack Structures - Remarkable Advantages of La2O3 over HfO2 -,"
    2006 International Conference on Solid State Devices and Materials (SSDM 2006), J-1-3,
    (Pacifico Yokohama, Yokohama, Japan, September 12-15, 2006).
  26. Y. Naitou, A. Ando, H. Ogiso, S. Kamiyama, Y. Nara, H. Watanabe, and K. Yasutake,
    "Spatial Fluctuation of Electrical Properties in Hf-Silicate Film Observed with Scanning Capacitance Microscopy,"
    2006 International Conference on Solid State Devices and Materials (SSDM 2006), J-5-3,
    (Pacifico Yokohama, Yokohama, Japan, September 12-15, 2006).
  27. T. Ikoma, C. Taniguchi, S. Fukuda, K. Endo, H. Watanabe, and S. Samukawa,
    "Low-Leakage-Current Ultrathin SiO2 Film by Low-Temperature Neutral Beam Oxidation,"
    2006 International Conference on Solid State Devices and Materials (SSDM 2006), P-1-24,
    (Pacifico Yokohama, Yokohama, Japan, September 12-15, 2006).
  28. S. Horie, T. Minami, N. Kitano, M. Kosuda, H. Watanabe, and K. Yasutake,
    "Impact of PVD-based In-situ Fabrication Method for Metal/High-k Gate Stacks,"
    2006 International Conference on Solid State Devices and Materials (SSDM 2006), P-1-7,
    (Pacifico Yokohama, Yokohama, Japan, September 12-15, 2006).
  29. K. Kimura, Z. Ming, K. Nakajima, M. Suzuki, K. Kimura, M. Uematsu, K. Torii, S. Kamiyama, Y. Nara, H. Watanabe, K. Shiraishi, T. Chikyow, and K. Yamada,
    "High-resolution RBS Analysis of Si-dielectric Interfaces," Invited
    2006 International Conference on Solid State Devices and Materials (SSDM 2006), J-3-1,
    (Pacifico Yokohama, Yokohama, Japan, September 12-15, 2006).
  30. N. Tawara, H. Ohmi, Y. Terai, H. Kakiuchi, H. Watanabe, Y. Fujiwara, and K. Yasutake,
    "Characterization of Epitaxial Silicon Films Grown by Atmospheric Pressure Plasma Chemical Vapor Deposition at Low Temperatures(450-600℃),"
    2006 International Conference on Solid State Devices and Materials (SSDM 2006), I-8-4,
    (Pacifico Yokohama, Yokohama, Japan, September 12-15, 2006).
  31. K. Shiraishi, H. Takeuchi, Y. Akasaka, H. Watanabe, N. Umezawa, T. Chikyow, Y. Nara, T.-J. King Liu, and K. Yamada,
    "Theory of Fermi Level Pinning of High-k Dielectrics," Invited
    2006 International Conference on Simulation of Semiconductor Process and Devices,
    (Monterey, CA, USA, September 06-08, 2006).
  32. A. Uedono, T. Naito, T. Otsuka, K. Shiraishi, K. Yamabe, S. Miyazaki, H. Watanabe, N. Umezawa, A. Hamid, T. Chikyow, T. Ohdaira, R. Suzuki, S. Ishibashi, S. Inumiya, S. Kamiyama, Y. Akasaka, Y. Nara, and K. Yamada,
    "Study of High-k Gate Dielectrics by means of Positron Annihilation," Invited
    Proceedings of The XIVth International Conference on Positron Annihilation (ICPA-14), p.166-167,
    (McMaster University, Canada, July 23-28, 2006).
  33. T. Ando, T. Hirano, K. Tai, S. Yamaguchi, T. Kato, Y. Hagimoto, K. Watanabe, R. Yamamoto, S. Kanda, K. Nagano, S. Terauchi, Y. Tateshita, Y. Tagawa, M. Saito, H. Iwamoto, S. Yoshida, H. Watanabe, N. Nagashima, and S. Kadomura,
    "Sub-1nm EOT HfSix/HfO2 Gate Stack Using Novel Si Extrusion Process for High Performance Application,"
    Proceedings of VLSI Technology Symposium 2006, 20.4, p.166-167,
    (Honolulu, HI, USA, June 11-12, 2006).
  34. K. Shiraishi, H. Takeuchi, Y. Akasaka, H. Watanabe, N. Umezawa, T. Chikyow, Y. Nara, T.-J. King Liu, and K. Yamada,
    "Mechanism of Fermi-Level Pinning for n-like Metal Silicides on Hf-based Gate Dielectrics,"
    Proceedings of IEEE 2006 Silicon Nanoelectronic Workshop(SNW), p.115-116,
    (Honolulu, HI, USA, June 11-12, 2006).
  35. K. Shiraishi, T. Nakayama, Y. Akasaka, S. Miyazaki, T. Nakaoka, K. Ohmori, P. Ahmet, K. Torii, H. Watanabe, T. Chikyow, Y. Nara, H. Iwai, and K. Yamada,
    "New Theory of Effective Workfunctions at Metal/High-k Dielectric Interfaces -Application to Metal/High-k HfO2 and La2O3 Dielectric Interfaces-," Invited
    Meet. Abstr. - 209th ECS Meeting, #379,
    (Denver, CO, USA, May 7-12, 2006).
  36. N. Umezawa, K. Shiraishi, H. Watanabe, K. Torii, Y. Akasaka, S. Inumiya, M. Boero, A. Uedono, S. Miyazaki, T. Ohno, T. Chikyow, K. Yamabe, Y. Nara and K. Yamada,
    "Extensive Studies for the Effect of Nitrogen Incorporation into Hf-based High-k Gate Dielectrics," Invited
    Meet. Abstr. - 209th ECS Meeting, #382,
    (Denver, CO, USA, May 7-12, 2006).
  37. T. Shimura, E. Mishima, H. Watanabe, and K. Yasutake,
    "Application of Synchrotron X-ray Diffraction Methods to Thin Film Materials used in Semiconductor Devices," Invited
    International Meeting for Future of Electron Devices, Kansai (IMFEDK2006),
    (Kyoto University Clock Tower Centennial Hall, Kyoto, Japan, April 24-26, 2006).
  38. H. Watanabe, S. Okada, H. Ohmi, H. Kakiuchi, and K. Yasutake,
    "Surface Cleaning and Etching of 4H-SiC(0001) using Atmospheric Pressure Hydrogen Plasma,"
    2006 MRS Spring Meeting, B5.3,
    (San Francisco, CA, USA, Apri 17-21, 2006).
  39. K. Shiraishi, T. Nakayama, Y. Akasaka, S. Miyazaki, T. Nakaoka1, K. Ohmori, P. Ahmet, K. Torii, H. Watanabe, T. Chikyow, Y. Nara, H. Iwai, and K. Yamada,
    "A new theory of the Schottky barrier heights at metal/metal oxide interfaces based on the first principles calculations," Invited
    Computational Science Workshop (CSW2006),
    (AIST, Tsukuba, Japan, April 17-19, 2006).
  40. K. Shiraishi, T. Nakayama, Y. Akasaka, S. Miyazaki, T. Nakaoka, K. Ohmori, P. Ahmet, K. Torii, H. Watanabe, T. Chikyow, Y. Nara, and K. Yamada,
    "Theoretical Investigation of the Interface between Hf-based High-k Dielectrics and Poly-Si and Metal gates," Invited
    2006 ECS-SEMI International. Semiconductor Technology Conference,
    (Shanghai, China, March 21-23, 2006).
  41. K. Shiraishi, Y. Akasaka, K. Torii, T. Nakayama, S. Miyazaki, T. Nakaoka, H. Watanabe, K. Ohmori, P. Ahmet, T. Chikyow, Y. Nara, and K. Yamada,
    "New Findings in Nano-scale Interface Physics and their Relations to Nano-CMOS Technologies,"
    Proceedings of International Workshop on Nano CMOS, pp.180,
    (Mishima, Shizuoka, Japan, January 30 - February 01, 2006).
  42. K. Ohmmori, P. Ahmet, K. Shiraishi, H. Watanabe, Y. Akasaka, K. Yamabe, K. S. Chang, M. G. Green, K. Yamada, and T. Chikyow,
    "Influences of Annealing Conditions on Flatband Voltage Properties Using Continuously,"
    Proceedings of International Workshop on Nano CMOS, pp.160,
    (Mishima, Shizuoka, Japan, January 30-February 01, 2006).
  43. H. Ohmi, H. Kakiuchi, N. Tawara, T. Wakamiya, T. Shimura, H. Watanabe, and K. Yasutake,
    "Low-temperature Growth of Epitaxial Silicon films by Atmospheric Pressure Plasma Chemical Vapor Deposition,"
    Proceedings of the 6th ICRP and 23rd SPP, pp.625-626,
    (Matsushima/Sendai, Japan, January 24-27, 2006).
  44. H. Ohmi, H. Kakiuchi, K. Nishijima, H. Watanabe, and K. Yasutake,
    "Low-Temperature Crystallization of Amorphous Silicon by Atmospheric-Pressure Plasma Treatment in H2/He or H2/Ar Mixture,"
    Proceedings of the 6th ICRP and 23rd SPP, pp.67-68,
    (Matsushima/Sendai, Japan, January 24-27, 2006).
  45. H. Ohmi, H. Kakiuchi, Y. Ogiyama, H. Watanabe, and K. Yasutake,
    "Characterization of high pressure (200-760Torr), stable glow plasma of pure hydrogen by measuring etching properties of Si and optical emission spectroscopy,"
    Proceedings of the 6th ICRP and 23rd SPP, pp.301-302,
    (Matsushima/Sendai, Japan, January 24-27, 2006).

ページの先頭へ戻る

国内会議/ Domestic Conferences

  1. 原田 真、垣内 弘章、大参 宏昌、渡部 平司、安武 潔,
    "大気圧プラズマによるSi表面の高速酸化,"
    薄膜材料デバイス研究会 第3回研究集会予稿集「薄膜デバイスの新展開」pp.149-150,
    (あすなら会議場, 奈良市, November 10-11, 2006).
  2. 渡部 平司,志村 考功,安武 潔,
    "High-k絶縁膜界面の増速酸化反応,"
    2006年秋季 第67回応用物理学関係連合講演会予稿集, 30p-ZW-3,
    (立命館大学, August 29 - September 01, 2006).
  3. 大毛利 健治,Parhat Ahmet,白石 賢二,吉武 道子,山部 紀久夫,渡部 平司,赤坂 泰志,奈良 安雄,K.-S. Chang,M.L. Green,山田 啓作,知京 豊裕,
    "コンビナトリアル手法を用いた金属電極材料及びHigh-k絶縁膜の界面特性制御,"
    2006年秋季 第67回応用物理学関係連合講演会予稿集, 30p-ZW-8,
    (立命館大学, August 29 - September 01, 2006).
  4. 安藤 崇志,田井 香織,岩元 勇人,志村 考功,渡部 平司,安武 潔,
    "HfSi/HfO2ゲートスタックにおけるHfO2膜厚とHfSi組成が界面反応と電気特性に及ぼす影響,"
    2006年秋季 第67回応用物理学関係連合講演会予稿集, No.2, p.709, 30a-P5-10,
    (立命館大学, August 29 - September 01, 2006).
  5. 内藤 裕一,安藤 淳,小木曽 久人,神山 聡,奈良 安雄,渡部 平司,安武 潔,
    "走査型容量顕微鏡によるHf-silicate 膜の誘電特性の面内分布評価,"
    2006年秋季 第67回応用物理学関係連合講演会予稿集, No.2, p.710, 30a-P5-13,
    (立命館大学, August 29 - September 01, 2006).
  6. 堀江 伸哉,南 卓士,北野 尚武,小須田 求,白石 賢二,渡部 平司,安武 潔,
    "真空一貫PVD成膜によるMetal/High-kゲートスタックの作製と評価 -C汚染低減による特性改善効果-,"
    2006年秋季 第67回応用物理学関係連合講演会予稿集, 30a-P5-13,
    (立命館大学, August 29 - September 01, 2006).
  7. 生駒 亨,田口 智啓,福田 誠一,遠藤 和彦,渡部 平司,寒川 誠二,
    "中性粒子ビーム酸化を用いた低温プロセスでの低リーク電流極薄SiO2膜の形成,"
    2006年秋季 第67回応用物理学関係連合講演会予稿集, 31p-P12-30,
    (立命館大学, August 29 - September 01, 2006).
  8. 南 綱介,吉本 千秋,大参 宏昌,志村 考功,垣内 弘章,渡部 平司,安武 潔,
    "Ge微結晶核を用いた多結晶Si薄膜形成,"
    2006年秋季 第67回応用物理学関係連合講演会予稿集, 29p-ZQ-6,
    (立命館大学, August 29 - September 01, 2006).
  9. 田原 直剛,大参 宏昌,寺井 慶和,垣内 弘章,渡部 平司,藤原 康文,安武 潔,
    "大気圧プラズマCVD法によって低温成長したSiエピタキシャル膜の評価,"
    2006年秋季 第67回応用物理学関係連合講演会予稿集, 29a-X-8,
    (立命館大学, August 29 - September 01, 2006).
  10. 喜多 祐起,吉田 慎一,渡辺 康匡,志村 考功,渡部 平司,安武 潔,
    "メタル電極とHfSiON絶縁膜海面反応の評価,"
    精密工学会 2006年度関西地方定期学術講演会講演論文集, pp.97-98,
    (和歌山大学, August 10-11, 2006).
  11. 原田 真,垣内 弘章,大参 宏昌,渡部 平司,安武 潔,
    "Siの大気圧プラズマ酸化によるSiO2の高速形成に関する研究,"
    精密工学会 2006年度関西地方定期学術講演会講演論文集, pp.95-96,
    (和歌山大学, August 10-11, 2006).
  12. 間部 謙三, 高橋 健介, 長谷 卓, 五十嵐 信行, 五十嵐 多恵子, 忍田 真希子, 渡部 平司, 安武 潔, 辰己 徹,
    "HfSiON及びSiO2ゲート絶縁膜上のNiフルシリサイドメタルゲート電極(キーノートスピーチ),"
    2006年度精密工学会春季大会 学術講演会講演論文集, pp.635-636, I02,
    (東京理科大学, March 15-17, 2006).
  13. 川村 浩太, 三島 永嗣, 志村 考功, 渡部 平司, 安武 潔, 神山 聡, 赤坂 泰志, 奈良 安雄, 中村 邦雄, 山田 啓作,
    "X線反射率測定によるTiN/HfSiON界面の熱安定性の評価,"
    2006年度精密工学会春季大会 学術講演会講演論文集, pp.637-638, I04,
    (東京理科大学, March 15-17, 2006).
  14. 小山 晋, 南 綱介, 大参 宏昌, 志村 考功, 渡部 平司, 安武 潔,
    "Ge 微結晶核付SiO2基板上の多結晶Si薄膜形成に関する研究,"
    2006年度精密工学会春季大会 学術講演会講演論文集, pp.639-640, I05,
    (東京理科大学, March 15-17, 2006).
  15. 若宮 拓也,田原 直剛,濱田 亮,柄沢 隆文,志村 考功,大参 宏昌,垣内 弘章,渡部 平司,安武 潔,
    "大気圧プラズマCVD法によるSiの低温・高速エピタキシャル成長,"
    2006年春季 第53回応用物理学関係連合講演会予稿集, 22a-P-10,
    (武蔵工業大学, March 22-26, 2006).
  16. 岡田 茂業,吉田 大介,志村 考功,渡部 平司,安武 潔,
    "AlON/SiO2積層構造をゲート絶縁膜に用いた4H-SiC MOSキャパシタの作製と評価,"
    2006年春季 第53回応用物理学関係連合講演会予稿集, 23p-ZQ-10,
    (武蔵工業大学, March 22-26, 2006).
  17. 岡田 茂業,中村 亮太,大参 宏昌,垣内 弘章,渡部 平司,安武 潔,
    "多孔質カーボン電極を用いたSiC表面の大気圧水素プラズマ処理,"
    2006年春季 第53回応用物理学関係連合講演会予稿集, 23p-ZQ-9,
    (武蔵工業大学, March 22-26, 2006).
  18. 清水 教弘,堀内 慎一郎,志村 考功,渡部 平司,安武 潔,
    "SiGe/SOI構造の酸化濃縮過程における反応飽和機構,"
    2006年春季 第53回応用物理学関係連合講演会予稿集, 23a-ZD-7,
    (武蔵工業大学, March 22-26, 2006).
  19. 堀江 伸哉,南 卓士,北野 尚武,小須田 求,遠藤 勝義,渡部 平司,安武 潔,
    "真空一貫PVD成膜によるMetal/High-kゲートスタックの作製と評価,"
    2006年春季 第53回応用物理学関係連合講演会予稿集, 26a-V-9,
    (武蔵工業大学, March 22-26, 2006).
  20. 三島 永嗣,川村 浩太,志村 考功,渡部 平司,安武 潔,
    "X線CTR散乱を用いたHigh-k/Si界面酸化に関する研究,"
    2006年春季 第53回応用物理学関係連合講演会予稿集, 25a-V-4,
    (武蔵工業大学, March 22-26, 2006).
  21. 田口 智啓,生駒 亨,遠藤 和彦,渡部 平司,福田 誠一,寒川 誠二,
    "パルス時間変調O2中性粒子ビームを用いた極薄酸化膜の形成(3),"
    2006年春季 第53回応用物理学関係連合講演会予稿集, 24a-V-6,
    (武蔵工業大学, March 22-26, 2006).
  22. 間部 謙三、長谷 卓、五十嵐 信行、忍田 真希子、辰巳 徹、渡部 平司、安武 潔、渡辺 啓仁,
    "NiSiフルシリサイド/SiO2ゲートスタックにおける不純物によるしきい値変化メカニズム,"
    応用物理学会 薄膜・表面物理分科会/シリコンテクノロジー分科会共催特別研究会アブストラクト集「ゲートスタック研究会 ―材料・プロセス・評価の物理―」(第11回研究会), pp.139-144,
    (東レ総合研修センター, 静岡県三島市, February 28-29, 2006).
  23. 中村 源治志、赤坂 泰志、渡部 平司、大塚 文雄、奈良 安雄,
    "Ⅶ、ⅧおよびⅠB族金属材料のpMOS用メタルゲート適用検討,"
    応用物理学会 薄膜・表面物理分科会/シリコンテクノロジー分科会共催特別研究会アブストラクト集「ゲートスタック研究会 ―材料・プロセス・評価の物理―」(第11回研究会), pp.275-280,
    (東レ総合研修センター, 静岡県三島市, February 28-29, 2006).
  24. 吉田 慎一、渡辺 康匡、喜多 祐起、志村 考功、渡部 平司、安武 潔、赤坂 泰志、奈良 安雄、白石 賢二、山田 啓作,
    "メタル電極形成条件がMetal/HfSiON界面反応と電気特性に及ぼす影響,"
    応用物理学会 薄膜・表面物理分科会/シリコンテクノロジー分科会共催特別研究会アブストラクト集「ゲートスタック研究会 ―材料・プロセス・評価の物理―」(第11回研究会), pp.257-261,
    (東レ総合研修センター, 静岡県三島市, February 28-29, 2006).
  25. 大毛利 健治、Parhat Ahmet、白石 賢二、渡部 平司、赤坂 泰志、山部 紀久夫、吉武 道子、K.-S. Chang、M.L.Green、山田 啓作、知京 豊裕,
    "金属電極/High-k絶縁膜キャパシタのフラットバンド電圧特性に与える仕事関数変調及び熱処理の影響,"
    応用物理学会 薄膜・表面物理分科会/シリコンテクノロジー分科会共催特別研究会アブストラクト集「ゲートスタック研究会 ―材料・プロセス・評価の物理―」(第11回研究会), pp.145-149,
    (東レ総合研修センター, 静岡県三島市, February 28-29, 2006).
  26. 志村 考功、三島 永嗣、渡部 平司、安武 潔、梅野 正隆、辰村 光介、渡邉 孝信、大泊 巌,
    "Si熱酸化膜中の残留秩序構造と絶縁膜/Si界面反応研究への応用,"
    応用物理学会 薄膜・表面物理分科会/シリコンテクノロジー分科会共催特別研究会アブストラクト集「ゲートスタック研究会 ―材料・プロセス・評価の物理―」(第11回研究会), pp.13-18,
    (東レ総合研修センター, 静岡県三島市, February 28-29, 2006).
  27. 内藤 裕一、安藤 敦、小木曽 久人、神山 聡、奈良 安雄、中村 邦雄、渡部 平司、安武 潔,
    "走査型容量顕微鏡によるHf系ゲート絶縁膜の誘電特性の空間分布,"
    応用物理学会 薄膜・表面物理分科会/シリコンテクノロジー分科会共催特別研究会アブストラクト集「ゲートスタック研究会 ―材料・プロセス・評価の物理―」(第11回研究会), pp.73-77,
    (東レ総合研修センター, 静岡県三島市, February 28-29, 2006).
  28. 田口 智啓、生駒 篤亨、福田 誠一、遠藤 和彦、渡部 平司、寒川 誠二,
    "酸素中性ビーム粒子を用いた極薄酸化膜の形成,"
    応用物理学会 薄膜・表面物理分科会/シリコンテクノロジー分科会共催特別研究会アブストラクト集「ゲートスタック研究会 ―材料・プロセス・評価の物理―」(第11回研究会), pp.157-160,
    (東レ総合研修センター, 静岡県三島市, February 28-29, 2006).
  29. 白石 賢二、赤坂 泰志、宮崎 誠一、中山 隆史、中岡 高司、中村 源治、鳥居 和功、太田 晃生、Parhat Ahmet、大毛利 健治、渡部 平司、知京 豊裕、Martin Green、奈良 安雄、山田 啓作,
    "金属/Hf系高誘電率絶縁膜界面の統一理論 : ゲート金属の設計指針,"
    応用物理学会シリコンテクノロジー分科会研究集会 兼 電子情報通信学会シリコンデバイス・材料研究会,
    (機械振興会館, 東京都港区, January 20, 2006).
    

ページの先頭へ戻る