研究成果

研究業績受賞歴

年度:2020 | 2019 | 2018 | 2017 | 2016 | 2015 | 2014 | 2013 | 2012 | 2011 | 2010 | 2009 | 2008 | 2007 | 2006 | 2005 | 2004

2011年度研究成果

学術論文 / Journal Papers

  1. T. Hashimoto, K. Gamo, M. Fukuta, B. Zheng, N. Zettsu, I. Yamashita, Y. Uraoka, and H. Watanabe,
    "Control of selective adsorption behavior of Ti-binding ferritin on a SiO2 substrate by atomic-scale modulation of local surface charges,"
    Appl. Phys. Lett., 99 (26) 263701 (2011).
  2. T. Hosoi, K. Kutsuki, G. Okamoto, A. Yoshigoe, Y. Teraoka, T. Shimura, and H. Watanabe,
    "Synchrotron Radiation Photoemission Study of Ge3N4/Ge Structures Formed by Plasma Nitridation,"
    Jpn. J. Appl. Phys., 50, 10PE03 (2011).
  3. T. Yamamoto, S. Ogawa, H. Arimura, M. Saeki, N. Kitano, T. Hosoi, T. Shimura, and H. Watanabe,
    "Impact of Thermally Induced Structural Changes on the Electrical Properties of TiN/HfLaSiO Gate Stacks,"
    Jpn. J. Appl. Phys., 50, 10PA02 (2011).
  4. M. Saeki, H. Arimura, N. Kitano, T. Hosoi, T. Shimura, and H. Watanabe,
    "La Induced Passivation of High-k Bulk and Interface Defects in Polycrystalline Silicon/TiN/HfLaSiO/SiO2Stacks,"
    Jpn. J. Appl. Phys., 50, 10PA01 (2011).
  5. H. Watanabe, T. Hosoi, T. Kirino, Y. Uenishi, A. Chanthaphan, D. Ikeguchi, A. Yoshigoe, Y. Teraoka, S. Mitani, Y. Nakano, T. Nakamura, and T. Shimura,
    "Gate Stack Technologies for SiC Power MOSFETs," Invited
    ECS Transactions, 41 (3) 77-90 (2011).
  6. H. Arimura, Yuki Odake, N. Kitano, T. Hosoi, T. Shimura, and H. Watanabe,
    "Detrimental Hf penetration into TiN gate electrode and subsequent degradation in dielectric properties of HfSiO high-k film,"
    Appl. Phys. Lett., 99 (14) 142907 (2011).
  7. S. Ogawa, T. Suda, T. Yamamoto, K. Kutsuki, I. Hideshima, T. Hosoi, T. Shimura, and H. Watanabe,
    "Insight into unusual impurity absorbability of GeO2 in GeO2/Ge stacks,"
    Appl. Phys. Lett., 99 (14) 142101 (2011).
  8. H. Watanabe, T. Hosoi, T. Kirino, Y. Kagei, Y. Uenishi, A. Chanthaphan, A. Yoshigoe, Y. Teraoka, and T. Shimura,
    "Synchrotron x-ray photoelectron spectroscopy study on thermally grown SiO2/4H-SiC(0001) interface and its correlation with electrical properties,"
    Appl. Phys. Lett., 99 (2) 021907 (2011).
  9. K. Banu and T. Shimura,
    "A novel electroless method for the deposition of single-crystalline platinum nanoparticle films on an organic solid matrix in the presence of gold single crystals,"
    New J. Chem., 35 (7) 1503-1514 (2011).
  10. T. Takeuchi, K. Tatsumura, I. Ohdomari, T. Shimura, and M. Nagase,
    "X-ray diffraction profiles of Si nanowires with trapezoidal cross-sections,"
    Physica B, 406 (13) 2559-2564 (2011).
  11. K. Banu and T. Shimura,
    "A novel electroless method for the deposition of single-crystalline gold nanocrystals on and inside an organic solid-matrixw,"
    New J. Chem., 35 (5) 1031-1041 (2011).
  12. H. Watanabe, T. Kirino, Y. Uenishi, A. Chanthaphan, A. Yoshigoe, Y. Teraoka, S. Mitani, Y. Nakano, T. Nakamura, T. Hosoi and T. Shimura,
    "Impact of Stacked AlON/SiO2 Gate Dielectrics for SiC Power Devices," Invited
    ECS Transactions, 35 (2) 265-274 (2011).
  13. B. Zheng, N. Zettsu, M. Fukuta, M. Uenuma, T. Hashimoto, K. Gamo, Y. Uraoka, I. Yamashita, and H. Watanabe,
    "Versatile protein-based bifunctional nano-systems (encapsulation and directed assembly): Selective nanoscale positioning of gold nanoparticle-viral protein hybrids,"
    Chemical Physics Letters, 506 (1-3) 76-80 (2011).
  14. G. Okamoto, K. Kutsuki, T. Hosoi, T. Shimura, and H. Watanabe,
    "Electrical Characteristics of Ge-Based Metal-Insulator-Semiconductor Devices with Ge3N4 Dielectrics Formed by Plasma Nitridation,"
    J. Nanosci. Nanotechnol., 11 (4) 2856 (2011).
  15. H. Watanabe, H. Ohmi, H. Kakiuchi, T. Hosoi, T. Shimura, and K. Yasutake,
    "Surface Cleaning and Etching of 4H-SiC(0001) Using High-Density Atmospheric Pressure Hydrogen Plasma,"
    J. Nanosci. Nanotechnol., 11 (4) 2802 (2011).
  16. S. M. Suturin, N. S. Sokolov, A. G. Banshchikov, R. N. Kyutt, O. Sakata, T. Shimura, J. Harada, M. Tabuchi, and Y. Takeda,
    "Initial Stages of High-Temperature CaF2/Si(001) Epitaxial Growth Studied by Surface X-Ray Diffraction,"
    J. Nanosci. Nanotechnol., 11 (4) 2990 (2011).
  17. T. Yamamoto, S. Ogawa, M. Kunisu, J. Tsuji, K. Kita, M. Saeki, Y. Oku, H. Arimura, N. Kitano, T. Hosoi, T. Shimura, and H. Watanabe,
    "Electronic Structure Characterization of La Incorporated Hf-Based High-k Gate Dielectrics by NEXAFS,"
    J. Nanosci. Nanotechnol., 11 (4) 2823 (2011).
  18. T. Hosoi, Y. Kagei, T. Kirino, S. Mitani, Y. Nakano, T. Nakamura, T. Shimura, and H. Watanabe,
    "Reduction of Charge Trapping Sites in Al2O3/SiO2 Stacked Gate Dielectrics by Incorporating Nitrogen for Highly Reliable 4H-SiC MIS Devices,"
    Mater. Sci. Forum, 679-680, 496-499 (2011).
  19. H. Watanabe, T. Kirino, Y. Kagei, J. Harries, A. Yoshigoe, Y. Teraoka, S. Mitani, Y. Nakano, T. Nakamura, T. Hosoi, and T. Shimura,
    "Energy Band Structure of SiO2/4H-SiC Interfaces and its Modulation Induced by Intrinsic and Extrinsic Interface Charge Transfer,"
    Mater. Sci. Forum, 679-680, 386-389 (2011).
  20. T. Hosoi, K. Konzono, Y. Uenishi, S. Mitani, Y. Nakano, T. Nakamura, T. Shimura, and H. Watanabe,
    "Investigation of Surface and Interface Morphology of Thermally Grown SiO2 Dielectrics on 4H-SiC(0001) Substrates,"
    Mater. Sci. Forum, 679-680, 342-345 (2011).
  21. H. Watanabe, K. Kutsuki, I. Hideshima, G. Okamoto, T. Hosoi, and T. Shimura,
    "Improved Electrocal Properties and Thermal Stability of GeON Gate Dielectrics formed by Plasma Nitridation of Ultrathin Oxides on Ge(100),"
    Key Engineering Materials, 470, 152-157 (2011).
  22. H. Arimura, S. L. Brown, A. Callegari, A. Kellock, J. Bruley, M. Copel, H. Watanabe, V. Narayanan, and T. Ando,
    "Maximized Benefit of La-Al-O Higher-k Gate Dielectrics by Optimizing the La/Al Atomic Ratio,"
    IEEE Electron Device Letters, 32 (3) 288 (2011).
  23. K. Kutsuki, I. Hideshima, G. Okamoto, T. Hosoi, T. Shimura, and H. Watanabe, "Thermal Robustness and Improved Electrical Properties of Ultrathin Germanium Oxynitride Gate Dielectric,"
    Jpn. J. Appl. Phys., 50, 010106 (2011).
  24. T. Shimura, T. Inoue, D. Shimokawa, T. Hosoi, Y. Imai, O. Sakata, S. Kimura, and H. Watanabe,
    "Characterization of SiGe Layer during Ge Condensation Process by X-ray Diffration Methods,"
    Jpn. J. Appl. Phys., 50, 010112 (2011).

ページの先頭へ戻る

国際会議/ International Conferences

  1. Y. Suzuki, S. Ogiwara, T. Hosoi, T. Shimura, and H. Watanabe,
    "High-mobility Ge-on-insulator p-channel MOSFETs fabricated by lateral liquid-phase epitaxy,"
    42nd IEEE Semiconductor Interface Specialists Conference (SISC), Session4, P.37, (Arlington, VA, USA, November 30, 2011 - December 3, 2011).
  2. T. Hosoi, H. Arimura, Y. Odake, N. Kitano, T. Shimura, and H. Watanabe,
    "Drastic degradation in dielectric properties of TiN/HfSiO/SiO2 gate stacks due to Hf uptake property of TiN electrodes,"
    42nd IEEE Semiconductor Interface Specialists Conference (SISC), Session1, 1.3, (Arlington, VA, USA, November 30, 2011 - December 3, 2011).
  3. A. Kasuya, K. Kutsuki, I. Hideshima, Y. Minoura, T. Hosoi, T. Shimura, and H. Watanabe,
    "High-mobility Ge MOSFETs with GeON gate dielectrics formed by plasma nitridation of ultrathin GeO2,"
    Prog. and Abst. of 7th Handai Nanoscience and Nanotechnology International Symposium, pp.130-131,
    (Icho-Kanikan, Osaka University, Osaka, Japan, November 10-11, 2011).
  4. T. Matsumiya, N. Morimoto, S. Fujino, T. Hosoi, T. Shimura, K. Kajiwara, J. Chen, T. Sekiguchi, and H. Watanabe,
    "Characterization of Grain Boundaries and Lattice Strain in Multicrystalline Si for Solar Cells by Synchrotron White X-ray Micro-beam Diffraction Method,"
    Prog. and Abst. of 7th Handai Nanoscience and Nanotechnology International Symposium, pp.81-82,
    (Icho-Kanikan, Osaka University, Osaka, Japan, November 10-11, 2011).
  5. Y. Fukunishi, T. Hashimoto, Z. Bin, M. Fukuta, N. Zettsu, I. Yamashita, Y. Uraoka, and H. Watanabe,
    "A protein removal technique with atmospheric-pressure He plasma for fabricating plasmonic device using porter-protein system,"
    Prog. and Abst. of 7th Handai Nanoscience and Nanotechnology International Symposium, pp.79-80,
    (Icho-Kanikan, Osaka University, Osaka, Japan, November 10-11, 2011).
  6. I. Hideshima, Atsushi Kasuya, T. Hosoi, T. Shimura, and H. Watanabe,
    "High-Quality Al2O3/GeO2 Gate Dielectrics Formed by Post-Deposition Oxidation of Ultrathin Metal Al Layer on Ge Substrates,"
    15th International Conference on Thin Films (ICTF-15),
    (Kyoto TERRSA, Kyoto, Japan, November 8-11, 2011).
  7. A. Chanthaphan, T. Kirino, Y. Uenishi, D. Ikeguchi, S. Mitani, Y. Nakano, T. Nakamura, T. Hosoi, T. Shimura, and H. Watanabe,
    "Investigation of Mobile Ion Generation in Thermal Oxide of 4H-SiC(0001) MOS Devices with High-Temperature Hydrogen Annealing,"
    15th International Conference on Thin Films (ICTF-15),
    (Kyoto TERRSA, Kyoto, Japan, November 8-11, 2011).
  8. N. Kitano, K. Chikaraishi, H. Arimura, T. Hosoi, T. Shimura, T. Nakagawa, and H. Watanabe,
    "Effective Work Function Control of Metal Inserted Poly-Si Electroodes on HfSiO Dielectrics by In-situ Oxygen Treatment Process,"
    Ext. Abst. of Fourth International Symposium on Atomically Controlled Fabrication Technology, pp.264-265,
    (Osaka University Nakanoshima Center, Osaka, Japan, October 31, 2011 - November 02, 2011).
  9. T. Hosoi, T. Kitano, A. Chanthaphan, Y. Uenishi, D. Ikeguchi, A. Yoshigoe, Y. Teraoka, S. Mitani, Y. Nakano, T. Nakamura, T. Shimura, and H. Watanabe,
    "Modulation of Conduction Band Offset at SiO2/4H-SiC Interface Depending on Interface Defect Passivation Treatment,"
    Ext. Abst. of Fourth International Symposium on Atomically Controlled Fabrication Technology, pp.262-263,
    (Osaka University Nakanoshima Center, Osaka, Japan, October 31, 2011 - November 02, 2011).
  10. S. Ogawa, T. Suda, T. Yamamoto, K. Kutsuki, I. Hideshima, T. Hosoi, T. Shimura, and H. Watanabe,
    "Unusual Impurity Absorbability of GeO2 in GeO2/Ge Stacks,"
    Ext. Abst. of Fourth International Symposium on Atomically Controlled Fabrication Technology, pp.260-261,
    (Osaka University Nakanoshima Center, Osaka, Japan, October 31, 2011 - November 02, 2011).
  11. K. Chikaraishi, H. Arimura, Y. Odake, N. Kitano, T. Hosoi, T. Shimura, and H. Watanabe,
    "Drastic Degradation in Dielectric Properties of TiN/HfSiO/SiO2 Stacks due to Hf Uptake Property of TiN Electrodes,"
    Ext. Abst. of Fourth International Symposium on Atomically Controlled Fabrication Technology, pp.258-259,
    (Osaka University Nakanoshima Center, Osaka, Japan, October 31, 2011 - November 02, 2011).
  12. T. Shimura, D. Shimokawa, T. Matsumiya, N. Morimoto, A. Ogura, T. Hosoi, and H. Watanabe,
    "Two-Dimensional Strain Measurement of Strained Silicon Wafer by Synchrotron X-ray Topography and its Electrical Characterization Using Back-Gate Transistors,"
    Ext. Abst. of Fourth International Symposium on Atomically Controlled Fabrication Technology, pp.254-255,
    (Osaka University Nakanoshima Center, Osaka, Japan, October 31, 2011 - November 02, 2011).
  13. I. Hideshima, A. Kasuya, T. Hosoi, T. Shimura, and H. Watanabe,
    "Al-based High-k/Ge Gate Stacks Fabricated by Post-Deposition Oxidation of Ultrathin Al Layer on Ge Substrates,"
    Ext. Abst. of Fourth International Symposium on Atomically Controlled Fabrication Technology, pp.252-253,
    (Osaka University Nakanoshima Center, Osaka, Japan, October 31, 2011 - November 02, 2011).
  14. D. Ikeguchi, T. Kirino, S. Mitani, Y. Nakano, T. Nakamura, T. Hosoi, T. Shimura, and H. Watanabe,
    "Investigation of UV-Induced Electrical Defects in Thermally Grown 4H-SiC MOS Devices,"
    Ext. Abst. of Fourth International Symposium on Atomically Controlled Fabrication Technology, pp.250-251,
    (Osaka University Nakanoshima Center, Osaka, Japan, October 31, 2011 - November 02, 2011).
  15. A. Chanthaphan, T. Kirino, Y. Uenishi, D. Ikeguchi, S. Mitani, Y. Nakano, T. Nakamura, T. Hosoi, T. Shimura, and H. Watanabe,
    "Flatband Voltage Instability Due to Mobile Ions in 4H-SiC Metal-Oxide-Semiconductor Devices,"
    Ext. Abst. of Fourth International Symposium on Atomically Controlled Fabrication Technology, pp.248-249,
    (Osaka University Nakanoshima Center, Osaka, Japan, October 31, 2011 - November 02, 2011).
  16. T. Suzuki, S. Ogiwara, T. Hosoi, T. Shimura, and H. Watanabe,
    "High-Quality Single-Crystalline Ge-on-Insulator P-Channel MOSFETs Formed by Lateral Liquid-Phase Epitaxy,"
    Ext. Abst. of Fourth International Symposium on Atomically Controlled Fabrication Technology, pp.246-247,
    (Osaka University Nakanoshima Center, Osaka, Japan, October 31, 2011 - November 02, 2011).
  17. T. Hashimoto, Z. Bin, M. Fukuta, N. Zettsu, K. Gamo, Y. Fukunishi, I.Yamashita, T. Uraoka, and H. Watanabe,
    "Plasmonic Property of High-density Gold Nanoparticle Monolayer Arranged Using Ferritin Protein-base Encapsulation/transport System,"
    Ext. Abst. of Fourth International Symposium on Atomically Controlled Fabrication Technology, pp.64-65,
    (Osaka University Nakanoshima Center, Osaka, Japan, October 31, 2011 - November 02, 2011).
  18. H. Watanabe, C. Yoshimoto, T. Hashimoto, S. Ogiwara, Y. Suzuki, T. Hosoi, and T. Shimura,
    "Fabrication of High-quality GOI and SGOI Structures by Rapid Melt Growth Method," Ext. Abst. of Fourth International Symposium on Atomically Controlled Fabrication Technology, pp.46-47,
    (Osaka University Nakanoshima Center, Osaka, Japan, October 31, 2011 - November 02, 2011).
  19. H. Watanabe, T. Hosoi, T. Kirino, Y. Uenishi, A. Chanthaphan, D. Ikeguchi, A. Yoshigoe, Y. Teraoka, S. Mitani, Y. Nakano, T. Nakamura, and T. Shimura,
    "Gate Stack Technologies for SiC Power MOSFETs," Invited
    Meet. Abstr. - 220th ECS Meeting, #1887,
    (Boston, MA, USA, October 9-14, 2011).
  20. M. Uenuma, B. Zheng, T. Imazawa, N. Okamoto, M. Horita, T. Nishida, Y. Ishikawa , H. Watanabe, I. Yamashita and Y. Uraoka,
    "Nanoparticle-Induced Crystallization of Amorphous Ge Film Using Ferritin,"
    2011 International Conference on Solid State Devices and Materials (SSDM 2011), P-11-13,
    (Aichi Industry & Labor Center, Nagoya, Japan, September 28-30, 2011).
  21. A. Kasuya, K. Kutsuki, I. Hideshima, Y. Minoura, T. Hosoi, T. Shimura, and H. Watanabe,
    "Ge p-MOSFET with GeON Gate Dielectrics Formed by Plasma Nitridation of GeO2,"
    International Workshop on Quantum Nanostructures and Nanoelectronics (QNN2011), p.84,
    (Komaba Research Campus, Tokyo, Japan, October 3-4, 2011).
  22. T. Shimura, T. Matsumiya, N. Morimoto, T. Hosoi, K. Kajiwara, J. Chen, T. Sekiguchi, and H. Watanabe,
    "Analysis of Grain Orientation and Lattice Strain in Multicrystalline Silicon for Photovoltaic Cells by Synchrotron White X-ray Micro-beam Diffraction Method,"
    14th International Conference on Defects-Recognition, Imaging and Physics in Semiconductors (DRIP-XIV), A-4,
    (Miyazaki Kanko Hotel, Miyazaki, Japan, September 25-29, 2011).
  23. D. Ikeguchi, T. Kirino, S. Mitani, Y. Nakano, T. Nakamura, T. Hosoi, T. Shimura, and H. Watanabe,
    "Impact of UV Irradiation on Thermally Grown 4H-SiC MOS Devices,"
    2011 International Conference on Silicon Carbide and Related Materials Abstract Book, We-P-78,
    (Cleveland, OH, USA, September 11-16, 2011).
  24. T. Hosoi, T. Kirino, A. Chanthaphan, Y. Uenishi, D. Ikeguchi, A. Yoshigoe, Y. Teraoka, S. Mitani, Y. Nakano, T. Nakamura, T. Shimura, and H. Watanabe,
    "Impact of Interface Defect Passivation on Conduction Band Offset at SiO2/4H-SiC Interface,"
    2011 International Conference on Silicon Carbide and Related Materials Abstract Book, Th-1A-5,
    (Cleveland, OH, USA, September 11-16, 2011).
  25. H. Watanabe, T. Hosoi, T. Kirino, Y. Uenishi, A. Chanthaphan, A. Yoshigoe, Y. Teraoka, S. Mitani, Y. Nakano, T. Nakamura, and T. Shimura,
    "Synchrotron Radiation Photoelectron Spectroscopy Study of Thermally Grown Oxides on 4H-SiC(0001) Si-face and (000-1) C-face Substrates," Invited
    2011 International Conference on Silicon Carbide and Related Materials Abstract Book, We-2A-5,
    (Cleveland, OH, USA, September 11-16, 2011).
  26. T. Hashimoto, M. Fukuta, K. Gamo, N. Zettsu and H. Watanabe,
    "Selective Asembly of Close-packed Gold Nanoparticle Arrays on Substrate by Ferritin Protein-base Encapsulation System and their Plasmonic Properties,"
    The 5th International Conference on Surface Plasmon Photonics (SPP5), TuP-76,
    (Bexco, Busan, Korea, May 15-20, 2011).
  27. K. Bundo, T. Imazawa, M. Uenuma, Y. Ishikawa, H. Watanabe, I. Yamashita, and Y. Uraoka,
    "Low-temperature Crystallization of Amorphous Ge Thin Films Using Metal Nanoparticles,"
    2011 International Meeting for Future of Electron Devices, Kansai(IMFEDK 2011), PC-9, pp.116-117,
    (Kansai University Centenary Memorial Hall, Osaka, Japan, May 19-20, 2011).
  28. A. Kasuya, K. Kutsuki, I. Hideshima, T. Hosoi, T. Shimura, and H. Watanabe,
    "Advantage of High-density Plasma Nitridation for Improving Thermal Stability of Ultrathin GeO2 on Ge(100),"
    2011 International Meeting for Future of Electron Devices, Kansai(IMFEDK 2011), PC-12, pp.122-123,
    (Kansai University Centenary Memorial Hall, Osaka, Japan, May 19-20, 2011).
  29. Y. Uenishi, K. Kozono, S. Mitani, Y. Nakanob, T. Nakamura, T. Hosoi, T. Shimura, and H. Watanabe,
    "Correlation between Surface Morphology and Breakdown Characteristics of Thermally Grown SiO2 Dielectrics in 4H-SiC MOS Devices,"
    2011 International Meeting for Future of Electron Devices, Kansai(IMFEDK 2011), PB-1, pp.76-77,
    (Kansai University Centenary Memorial Hall, Osaka, Japan, May 19-20, 2011).
  30. S. Ogiwara, Y. Suzuki, C. Yoshimoto, T. Hosoi, T. Shimura, and H. Watanabe,
    "High-quality Single-crystal SiGe Layers on Insulator Formed by Rapid Melt Growth,"
    2011 International Meeting for Future of Electron Devices, Kansai(IMFEDK 2011), PA-11, pp.70-71,
    (Kansai University Centenary Memorial Hall, Osaka, Japan, May 19-20, 2011).
  31. H. Watanabe, T. Kirino, Y. Uenishi, A. Chanthaphan, A. Yoshigoe, Y. Teraoka, S. Mitani, Y. Nakano, T. Nakamura, T. Hosoi, and T. Shimura,
    "Impact of Stacked AlON/SiO2 Gate Dielectrics for SiC Power Devices," Invited
    Meet. Abstr. - 219th ECS Meeting, #1141,
    (Montreal, Canada, May 3, 2011).
  32. H. Watanabe, K. Kutsuki, I. Hideshima, G. Okamoto, S. Saito, T. Ono, T. Hosoi, and T. Shimura,
    "Fundamental Aspects and Interface Engineering of Ge-MOS Device,"
    2011 MRS Spring Meeting, P4.2,
    (San Francisco, CA, USA, April 27, 2011).
  33. H. Watanabe, T. Hosoi, T. Shimura, K. Shiraishi, and K. Yamada,
    "Understanding and Control of Metal-Oxide-Semiconductor Interfaces for Advanced Nanoelectronics," invited
    Abst. of 3rd Asian Consortium on Computational Material Science (ACCMS) Working Group Meeting, p.42,
    (Jeju Island, Korea, April 2, 2011).
  34. M. Fukuta, N. Zettsu, Y. Uraoka, and H. Watanabe,
    "The rule of Electric Surface State for the Specific Binding of the Ti-recognizing Recombinant Ferritin with Amphoteric Oxides and Silicon Oxide Surfaces,"
    Eleventh International Simposium on Biomimetic Materials Processing (BMMP-11), p.69,
    (Nagoya University, Japan, January 25-28, 2011).
  35. T. Hosoi, K. Kutsuki, G. Okamoto, A. Yoshigoe, Y. Teraoka, T. Shimura, and H. Watanabe,
    "In situ Synchrotron Radiation Photoemission Study of Ge3N4/Ge Structures Formed by Plasma Nitridation,"
    Extended Abstracts of 2011 International Workshop on Dielectric Thin Films for Future Electron Devices: Science and Technology (IWDTF2011), pp.69-70,
    (Tokyo Institute of Technology, Japan, January 20-21, 2011).
  36. T. Yamamoto, S. Ogawa, H. Arimura, M. Saeki, N. Kitano, T. Hosoi, T. Shimura, and H. Watanabe,
    "Impact of Thermally Induced Structural Changes on the Electrical Properties of TiN/HfLaSiO Gate Stacks,"
    Extended Abstracts of 2011 International Workshop on Dielectric Thin Films for Future Electron Devices: Science and Technology (IWDTF2011), pp.39-40,
    (Tokyo Institute of Technology, Japan, January 20-21, 2011).
  37. M. Saeki, H. Arimura, N. Kitano, T. Hosoi, T. Shimura, and H. Watanabe,
    "La Induced Passivation of High-k Bulk and Interface Defects in Poly-Si/TiN/HfLaSiO/SiO2 Stacks,"
    Extended Abstracts of 2011 International Workshop on Dielectric Thin Films for Future Electron Devices: Science and Technology (IWDTF2011), pp.37-38,
    (Tokyo Institute of Technology, Japan, January 20-21, 2011).

ページの先頭へ戻る

国内会議/ Domestic Conferences

  1. 池口 大輔,桐野 嵩史,箕谷 周平,中野 佑紀,中村 孝,細井 卓治,志村 考功,渡部 平司,
    "紫外線照射による熱酸化 SiO2/SiC 構造中の電気的欠陥生成,"
    SiC及び関連ワイドギャップ半導体研究会 第20回講演会, P-75, pp.167-168,
    (愛知県産業労働センター(ウインクあいち), December 08-09, 2011).
  2. A. Chanthaphan,桐野 嵩史,上西 悠介,池口 大輔,箕谷 周平,中野 佑紀,中村 孝,細井 卓治,志村 考功,渡部 平司,
    "高温条件下における4H-SiC MOS デバイスの不安定性,"
    SiC及び関連ワイドギャップ半導体研究会 第20回講演会, P-67, pp.151-152,
    (愛知県産業労働センター(ウインクあいち), December 08-09, 2011).
  3. M. Saeki, H. Arimura, N. Kitano, T. Hosoi, T. Shimura, and H. Watanabe,
    "Passivation of High-k Bulk and Interface Defects by Incorporating La into Hf-silicate and its Impact on Carrier Mobility [SISC]," Invited
    第11回 関西コロキアム電子デバイスワークショップ, Session II-3,
    (大阪大学中之島センター, October 21, 2011).
  4. T. Hosoi, M. Saeki, Y. Oku, H. Arimura, N. Kitano, K. Shiraishi, K. Yamada, T. Shimura, and H. Watanabe,
    "Comprehensive Study and Control of Oxygen Vacancy Induced Effective Work Function Modulation in Gate-First High-k/Metal Inserted Poly-Si Stacks [Simp. VLSI Tech.]," invited
    第11回 関西コロキアム電子デバイスワークショップ, Session I-1,
    (大阪大学中之島センター, October 21, 2011).
  5. 分銅 衡介,上沼 睦典,石河 泰明,渡部 平司,山下 一郎,浦岡 行治,
    "Cuナノ粒子を用いたa-Ge薄膜の低温結晶化,"
    2011年秋季 第72回応用物理学関係連合講演会予稿集, 1p-M-10,
    (山形大学, August 29, 2011 - September 02, 2011).
  6. 糟谷 篤志,朽木 克博,秀島 伊織,箕浦 佑也,細井 卓治,志村 考功,渡部 平司,
    "高密度プラズマ窒化により形成したGeON絶縁膜を用いたGe pMOSFET,"
    2011年秋季 第72回応用物理学関係連合講演会予稿集, 1a-Q-11,
    (山形大学, August 29, 2011 - September 02, 2011).
  7. 志村 考功,下川 大輔,松宮 拓也,細井 卓冶,渡部平司,
    "Ge熱酸化膜中残留秩序構造の酸化及びアニール温度依存性,"
    2011年秋季 第72回応用物理学関係連合講演会予稿集, 1a-Q-7,
    (山形大学, August 29, 2011 - September 02, 2011).
  8. 橋元 達也,鄭 彬,福田 めぐみ,蒲 健太郎,是津 信行,山下 一郎,浦岡 行治,渡部 平司,
    "フェリチンタンパク質によるプラズモニックデバイス作製プロセスにおける大気圧Heプラズマ応用タンパク除去技術の検討,"
    2011年秋季 第72回応用物理学関係連合講演会予稿集, 1p-V-11,
    (山形大学, August 29, 2011 - September 02, 2011).
  9. 福田 めぐみ,上沼 睦典, 鄭 彬,岡本 尚文,山下 一郎,浦岡 行治,渡部 平司,
    "界面活性剤非存在下でのTi認識ペプチド修飾フェリチン選択吸着の実現,"
    2011年秋季 第72回応用物理学関係連合講演会予稿集, 1p-V-10,
    (山形大学, August 29, 2011 - September 02, 2011).
  10. A. Chanthaphan,T. Kirino,Y. Uenishi,D. Ikeguchi,S. Mitani,Y. Nakano,T. Nakamura,T. Hosoi,T. Shimura,and H. Watanabe,
    "Generation of mobile ions in thermal oxide on 4H-SiC(0001) by high-temperature annealing,"
    2011年秋季 第72回応用物理学関係連合講演会予稿集, 30p-ZB-1,
    (山形大学, August 29, 2011 - September 02, 2011).
  11. 池口 大輔,桐野 嵩史,箕谷 周平,中野 佑紀,中村 孝,細井 卓治,志村 考功,渡部 平司,
    "熱酸化SiO2/SiC構造における紫外線誘起欠陥の評価,"
    2011年秋季 第72回応用物理学関係連合講演会予稿集, 30a-ZB-15,
    (山形大学, August 29, 2011 - September 02, 2011).
  12. 鈴木 雄一朗,荻原 伸平,細井 卓治,志村 考功,渡部 平司,
    "横方向液相エピタキシャル成長により作製した局所GOI構造の電気特性評価,"
    2011年秋季 第72回応用物理学関係連合講演会予稿集, 31a-P15-10,
    (山形大学, August 29, 2011 - September 02, 2011).
  13. 有村 拓晃,大嶽 祐輝,北野 尚武,細井 卓治,志村 考功,渡部 平司,
    "High-k膜構成元素のTiN電極中への拡散とそれに伴う絶縁膜の低誘電率化,"
    2011年秋季 第72回応用物理学関係連合講演会予稿集, 31p-Q-6,
    (山形大学, August 29, 2011 - September 02, 2011).
  14. 渡部 平司,
    "SiC-MOS 界面欠陥の評価とその改善策,"
    SiC 及び関連ワイドギャップ半導体研究会 第6回個別討論会, pp. 69-79, 依頼講演
    (京都キャンパスプラザ, July 29, 2011).
  15. 大嶽 祐輝, 有村 拓晃, 佐伯 雅之, 力石 薫介, 北野 尚武, 細井 卓治, 志村 考功, 渡部 平司,
    "高温熱処理によるTiN/HfLaSiO/SiO2ゲートスタック中Hf及びLa原子のTiN電極中への拡散とMIPS構造による抑制,"
    電子情報通信学会 シリコン材料・デバイス研究会 (SDM), 信学技報, vol. 111, no. 114, SDM2011-65, pp. 87-92,
    (名古屋大学 ベンチャー・ビジネス・ラボラトリー, July 04, 2011).
  16. A. Chanthaphan,T. Kirino,S. Mitani,Y. Nakano,T. Nakamura,T. Hosoi,T. Shimura,and H. Watanabe,
    "Temperature-dependent flatband voltage instability in 4H-SiC MOS devices with high-temperature hydrogen annealing,"
    2011年春季 第58回応用物理学関係連合講演会予稿集, 25p-BL-5,
    (神奈川工科大学 (講演会中止), March 24-27, 2011).
  17. 秀島 伊織,朽木 克博,糟谷 篤志,細井 卓治,志村 考功,渡部 平司,
    "EOT薄層化に向けた後酸化Al2O3/GeO2/Geゲートスタックの作製と評価,"
    2011年春季 第58回応用物理学関係連合講演会予稿集, 27a-KW-9,
    (神奈川工科大学 (講演会中止), March 24-27, 2011).
  18. 池口 大輔,桐野 嵩史,箕谷 周平,中野 佑紀,中村  孝,細井 卓治,志村 考功,渡部 平司,
    "紫外線照射による4H-SiC MOSデバイスの電気特性劣化,"
    2011年春季 第58回応用物理学関係連合講演会予稿集, 25p-BL-4,
    (神奈川工科大学 (講演会中止), March 24-27, 2011).
  19. 分銅 衡介,今澤 孝則,上沼 睦典,石河 泰明,渡部 平司,山下 一郎,浦岡 行治, "金属ナノ粒子を用いたa-Ge薄膜の低温結晶化,"
    2011年春季 第58回応用物理学関係連合講演会予稿集, 24a-P2-7,
    (神奈川工科大学 (講演会中止), March 24-27, 2011).
  20. 志村 考功,下川 大輔,松宮 卓也,細井 卓冶,渡部 平司,
    "ゲルマニウム熱酸化膜中の残留秩序構造,"
    2011年春季 第58回応用物理学関係連合講演会予稿集, 26p-KW-19,
    (神奈川工科大学 (講演会中止), March 24-27, 2011).
  21. 小川 慎吾,須田 泰市,山元 隆志,秀島 伊織,朽木 克博,細井 卓治,志村 考功,渡部 平司,
    "硬X線光電子分光法による金属電極/GeO2絶縁膜界面反応の解析,"
    2011年春季 第58回応用物理学関係連合講演会予稿集, 26p-KW-16,
    (神奈川工科大学 (講演会中止), March 24-27, 2011).
  22. 細井 卓治,佐伯 雅之,有村 拓晃,北野 尚武,志村 考功,渡部 平司,
    "高温バイアス下におけるHfLaSiO絶縁膜の絶縁特性劣化現象,"
    2011年春季 第58回応用物理学関係連合講演会予稿集, 26p-KW-4,
    (神奈川工科大学 (講演会中止), March 24-27, 2011).
  23. 大嶽 祐輝,有村 拓晃,佐伯 雅之,北野 尚武,細井 卓治,志村 孝功,渡部 平司,
    "TiN/High-k/SiO2中Hf及びLaの拡散現象に対するpoly-Si上部電極の影響,"
    2011年春季 第58回応用物理学関係連合講演会予稿集, 26a-KW-4,
    (神奈川工科大学 (講演会中止), March 24-27, 2011).
  24. 福西 友理恵,福田 めぐみ,蒲 健太郎,橋元 達也,是津 信行,浦岡 行治,渡部 平司,
    "分子構造が異なる非イオン性界面活性剤緩衝液中でのTi認識ペプチド修飾フェリチンの選択吸着挙動,"
    2011年春季 第58回応用物理学関係連合講演会予稿集, 25a-BV-18,
    (神奈川工科大学 (講演会中止), March 24-27, 2011).
  25. 蒲 健太郎,福田 めぐみ,橋元 達也,是津 信行,浦岡 行治,渡部 平司,
    "Ti認識ペプチド修飾フェリチンのSiO2膜上吸着挙動の膜質ならびに表面状態依存性,"
    2011年春季 第58回応用物理学関係連合講演会予稿集, 25a-BV-17,
    (神奈川工科大学 (講演会中止), March 24-27, 2011).
  26. 橋元 達也,鄭 彬,福田 めぐみ,蒲 健太郎,是津 信行,山下 一郎,浦岡 行治,渡部 平司,
    "プラズモニックデバイス作製に向けたフェリチンタンパク質援用金ナノ粒子高密度選択配置技術の開発,"
    2011年春季 第58回応用物理学関係連合講演会予稿集, 25a-BV-16,
    (神奈川工科大学 (講演会中止), March 24-27, 2011).
  27. 糟谷 篤志, 朽木 克博, 秀島 伊織, 細井 卓治, 志村 考功, 渡部 平司,
    "極薄Ge熱酸化膜の高密度プラズマ窒化により形成したGeON絶縁膜の熱安定性評価,"
    応用物理学会 薄膜・表面物理分科会/シリコンテクノロジー分科会共催特別研究会アブストラクト集「ゲートスタック研究会 ―材料・プロセス・評価の物理―」(第16回研究会), p46,
    (東京工業大学 (大岡山キャンパス), January 21-22, 2011).
  28. 細井 卓治, 佐伯 雅之, 奥 雄大, 北野 尚武, 有村 拓晃, 大嶽 祐輝, 白石 賢二, 山田 啓作, 志村 考功, 渡部 平司,
    "High-k/Metalゲートスタックにおける酸素空孔形成要因と実効仕事関数変調機構," 招待講演
    応用物理学会 薄膜・表面物理分科会/シリコンテクノロジー分科会共催特別研究会アブストラクト集「ゲートスタック研究会 ―材料・プロセス・評価の物理―」(第16回研究会), p16,
    (東京工業大学 (大岡山キャンパス), January 21-22, 2011).
  29. 荻原 伸平, 鈴木 雄一朗, 吉本 千秋, 細井 卓治, 志村 考功, 渡部 平司,
    "急速加熱液相エピタキシャル成長法による高Ge濃度SGOI構造の作製,"
    応用物理学会 薄膜・表面物理分科会/シリコンテクノロジー分科会共催特別研究会アブストラクト集「ゲートスタック研究会 ―材料・プロセス・評価の物理―」(第16回研究会), p14,
    (東京工業大学 (大岡山キャンパス), January 21-22, 2011).
  30. 大嶽祐輝, 有村拓晃, 佐伯雅之, 力石薫介, 北野尚武, 細井卓治, 志村考功, 渡部平司,
    "高温熱処理によるTiN/HfLaSiO/SiO2ゲートスタック中Hf及びLa原子のTiN電極中への拡散とMIPS構造による抑制,"
    電子情報通信学会 シリコン材料・デバイス研究会(SDM), pp. 87-92,
    (名古屋大学ベンチャー・ビジネス・ラボラトリー, July 4, 2011).

解説 / Reviews

  1. 内藤 裕一, 渡部 平司,
    "走査型容量顕微鏡による誘電体/伝導性薄膜観察,"
    真空, Vol. 54, No. 7・8, pp.437-444,
    (September, 2011).
    

ページの先頭へ戻る