Publications

PublicationsAwards Lists

Year:2020 | 2019 | 2018 | 2017 | 2016 | 2015 | 2014 | 2013 | 2012 | 2011 | 2010 | 2009 | 2008 | 2007 | 2006 | 2005 | 2004

Publications - 2015

Journal Papers

  1. T. Hosoi, Y. Minoura, R. Asahara, H. Oka, T. Shimura, and H. Watanabe,
    "Schottky source/drain germanium-based metal-oxide-semiconductor field-effect transistors with self-aligned NiGe/Ge junction and aggressively scaled high-k gate stack,"
    Appl. Phys. Lett., 107(25), 252104-1-1~252104-1-5 (2015).
  2. S. Ogawa, R. Asahara, Y. Minoura, H. Sako, N. Kawasaki, I. Yamada, T. Miyamoto, T. Hosoi, T. Shimura and H. Watanabe,
    "Insights into thermal diffusion of germanium and oxygen atoms in HfO2/GeO2/Ge gate stacks and their suppressed reaction with atomically thin AlOx interlayers,"
    J. Appl. Phys., 118(23), 235704-1~235704-5 (2015).
  3. T. Shimura, M. Matsue, K. Tominaga, K. Kajimura, T. Amamoto, T. Hosoi, and H. Watanabe,
    "Enhancement of photoluminescence from n-type tensile-strained GeSn wires on an insulator fabricated by lateral liquid-phase epitaxy,"
    Appl. Phys. Lett., 107(22), 221109-1~221109-5 (2015).
  4. N. Morimoto, S. Fujino, Y. Ito, A. Yamazaki, I. Sano, T. Hosoi, H. Watanabe, and T. Shimura,
    "Design and demonstration of phase gratings for 2D single grating interferometer,"
    Optics Express, 23(23), 29399-29412 (2015).
  5. T. Shimura, Y. Suzuki, M. Matsue, K. Kajimura, K. Tominaga, T. Amamoto,T. Hosoi, and H. Watanabe,
    "Fabrication of High-quality Ge-on-insulator Structures by Lateral Liquid Phase Epitaxy,"
    ECS Transactions, 69(5), 305-311 (2016).
  6. A. Chanthaphan, T. Hosoi, T. Shimura, and H. Watanabe,
    "Study of SiO2/4H-SiC interface nitridation by post-oxidation annealing in pure nitrogen gas,"
    AIP Advances, 5(9), 097134-1~097134-7 (2015).
  7. T. Hosoi, D. Nagai, T. Shimura, and H. Watanabe,
    "Exact evaluation of interface-reaction-limited growth in dry and wet thermal oxidation of 4H-SiC(0001) Si-face surfaces,"
    Jpn. J. Appl. Phys., 54, 098002 (2015).
  8. Y. Fukushima, A. Chanthaphan, T. Hosoi, T. Shimura, and H. Watanabe,
    "Cathodoluminescence study of radiative interface defects in thermally grown SiO2/4H-SiC(0001) structures,"
    Appl. Phys. Lett., 106(26), 261604-1~261604-5 (2015).
  9. N. Morimoto, S. Fujino, A. Yamazaki, Y. Ito, T. Hosoi, H. Watanabe, and T. Shimura,
    "Two dimensional x-ray phase imaging using single grating interferometer with embedded x-ray targets,"
    Optics Express, 23(13), 16582-16588 (2015).
  10. R. Asahara, I. Hideshima, H. Oka, Y. Minoura, S. Ogawa, A. Yoshigoe, Y. Teraoka, T. Hosoi, T. Shimura, and H. Watanabe,
    "Comprehensive study and design of scaled metal/high-k/Ge gate stacks with ultrathin aluminum oxide interlayers,"
    Appl. Phys. Lett., 106(23), 233503 (2015).
  11. H. Xu, Q. Yang, X. Wang, X. Liu, Y. Zhao, C. Li, and H. Watanabe,
    "Improving interface quality of 4H-SiC MOS devices with high temperature oxidation process in mass produce furnace,"
    Mater. Sci. Forum, 821-823, 484-487 (2015).
  12. K. Banu, T. Shimura, and S. Sadeghi,
    "Selective detection and recovery of gold at tannin-immobilized non-conducting electrode,"
    Analytica Chimica Acta, 853, 207–213 (2015).

PAGE TOP

International Conferences

  1. T. Hosoi, A. Chanthaphan, T. Shimura, and H. Watanabe,
    "SiO2/SiC Interface Nitridation by High Temperature Pure Nitrogen Annealing,"
    The 46th IEEE Semiconductor Interface Specialists Conference (SISC), 4.4,
    (Arlington, VA, USA, December 2-5, 2015).
  2. R. Asahara, M. Nozaki, T. Yamada, J. Ito, S. Nakazawa, M. Ishida, T. Ueda, A. Yoshigoe, T. Hosoi, T. Shimura, and H. Watanabe,
    "Effect of Nitrogen Incorporation into Al-based Gate Insulator in AlGaN/GaN MOS-HEMT,"
    The 46th IEEE Semiconductor Interface Specialists Conference (SISC), 5.2,
    (Arlington, VA, USA, December 2-5, 2015).
  3. T. Yamada, J. Ito, R. Asahara, M. Nozaki, S. Nakazawa, M. Ishida, T. Ueda, A. Yoshigoe, T. Hosoi, T. Shimura, and H. Watanabe,
    "Investigation of Initial Oxide Growth on GaN Epitaxial Films,"
    2015 International Workshop on Dielectric Thin Films for Future Electron Devices: Science and Technology (2015 IWDTF), 43-44,
    (National Museum of Emerging Science and Innovation (Miraikan), Tokyo, Japan, Nov.2-4, 2015).
  4. S. Yoshida, S. Taniguchi, H. Minari, D. Lin, Ts. Ivanov, H. Watanabe, M. Nakazawa, N. Collaert, and A. Thean,
    "The Impact of Energy Barrier Height on Border Traps in III-V Gate Stacks,"
    2015 International Workshop on Dielectric Thin Films for Future Electron Devices: Science and Technology (2015 IWDTF), 75-76,
    (National Museum of Emerging Science and Innovation (Miraikan), Tokyo, Japan, Nov.2-4, 2015).
  5. T. Shimura, Y. Suzuki, M. Matsue, K. Kajimura, K. Tominaga, T. Amamoto, T. Hosoi, and H. Watanabe,
    "Fabrication of High-quality Ge-on-insulator Structures by Lateral Liquid Phase Epitaxy," Invited
    The 228th ECS Meeting, 305p-311p,
    (Hyatt Regency Phonix, Phoenix, Arizona, USA, Oct.11-15, 2015).
  6. Y. Katsu, T. Hosoi, Y. Nanen, T. Kimoto, T. Shimura, and H. Watanabe,
    "Impact of NO annealing on flatband voltage instability due to charge trapping in SiC MOS devices,"
    16th International Conference on Silicon Carbide and Related Materials (ICSCRM 2015), Mo-IP-1,
    (Congress Center Atahotel Naxos Beach, Giardini Naxos, Italy, Oct.4-9, 2015).
  7. A. Chanthaphan, Y. Fukushima, K. Yamamoto, M. Aketa, H. Asahara, T. Nakamura, T. Hosoi, T. Shimura, and H. Watanabe,
    "Cathodoluminescence study of SiO2/4H-SiC structures treated with high-temperature post-oxidation annealing,"
    16th International Conference on Silicon Carbide and Related Materials (ICSCRM 2015), Mo-P-54,
    (Congress Center Atahotel Naxos Beach, Giardini Naxos, Italy, Oct.4-9, 2015).
  8. A. Chanthaphan, T. Hosoi, T. Shimura, and H. Watanabe,
    "Improvement of SiO2/4H-SiC interface quality by post-oxidation annealing in N2 at high-temperatures,"
    16th International Conference on Silicon Carbide and Related Materials (ICSCRM 2015), We-3A-2,
    (Congress Center Atahotel Naxos Beach, Giardini Naxos, Italy, Oct.4-9, 2015).
  9. T. Hosoi, S. Azumo, K. Yamamoto, M. Aketa, Y. Kashiwagi, S. Hosaka, H. Asahara, T. Nakamura, T. Shimura, and H. Watanabe,
    "Flatband voltage shift depending on SiO2/SiC interface charges in 4H-SiC MOS capacitors with AlON/SiO2 stacked gate dielectrics,"
    16th International Conference on Silicon Carbide and Related Materials (ICSCRM 2015), Th-P-27,
    (Congress Center Atahotel Naxos Beach, Giardini Naxos, Italy, Oct.4-9, 2015).
  10. H. Shirakawa, M. Araidai, K. Kamiya, H. Watanabe, and K. Shiraishi,
    "Theoretical study on the identity of positive mobile ions in SiC-MOSFET and their diffusion process,"
    16th International Conference on Silicon Carbide and Related Materials (ICSCRM 2015), Fr-1B-2,
    (Congress Center Atahotel Naxos Beach, Giardini Naxos, Italy, Oct.4-9, 2015).
  11. Y. Ito, N. Morimoto, S. Fujino, A. Yamazaki, I. Sano, T. Hosoi, H. Watanabe, and T. Shimura,
    "Development of single transmission grating Talbot-Lau interferometer with embedded tungsten targets for 30 keV x rays,"
    The 3rd Meeting of X-Ray and Neutron Phase Imaging with Gratings (XNPIG2015), 16p,
    (U.S. National Institutes of Health, Washington D.C., USA, Sep.8-11, 2015).
  12. N. Morimoto, S. Fujino, Y. Ito, A. Yamazaki, I. Sano, T. Hosoi, H. Watanabe, and T. Shimura,
    "2D x-ray single grating interferometry with embedded metal targets,"
    The 3rd Meeting of X-Ray and Neutron Phase Imaging with Gratings (XNPIG2015), 28p,
    (U.S. National Institutes of Health, Washington D.C., USA, Sep.8-11, 2015).
  13. A. Yamazaki, N. Morimoto, S. Fujino, Y. Ito, I. Sano, T. Hosoi, H. Watanabe, and T. Shimura,
    "X-ray Talbot-Lau interferometer using lanthanum targets embedded in diamond substrates,"
    The 3rd Meeting of X-Ray and Neutron Phase Imaging with Gratings (XNPIG2015), 82p,
    (U.S. National Institutes of Health, Washington D.C., USA, Sep.8-11, 2015).
  14. T. Hosoi, H. Oka, Y. Minoura, T. Shimura, and H. Watanabe,
    "Schottky barrier height modulation at NiGe/Ge interface by phosphorous ion implantation and its application to Ge-based CMOS devices,"
    The 15th International Workshop on Junction Technology (IWJT2015) , S5-3,
    (Kyoto University Kihada Hall (Uji Campus), Kyoto, Japan, June 11-12, 2015).
  15. M. Nozaki, J. Ito, R. Asahara, S. Nakazawa, M. Ishida, T. Ueda, A. Yoshigoe, Y. Teraoka, T. Hosoi, T. Shimura and H. Watanabe,
    "Synchrotron Radiation X-Ray Photoelectron Spectroscopy Study of Interface Reactions in Al/Ti/GaN Ohmic Contacts,"
    The 11th International Conference on Nitride Semiconductors (ICNS-11), Poster WeEP4,
    (Beijing International Convention Center, Beijing, China, Aug 30-Sep 4, 2015).

PAGE TOP

Domestic Conferences

  1. H. Oka, Y. Minoura, R. Asahara, T. Hosoi, T. Shimura, and H. Watanabe,
    "Engineering of NiGe/Ge Junction by P Ion Implantation after Germanidation for Metal S/D Ge CMOS Technology(依頼講演),"
    第15回関西コロキアム電子デバイスワークショップ,
    (大阪工業大学 うめきたナレッジセンター グランフロント大阪 ナレッジキャピタルタワー C9階, December 15, 2015).
  2. 細井 卓治,
    "SiC MOS界面の制御技術(依頼講演),"
    パワーデバイスの基礎に関する技術講演会,
    (大阪大学工学研究科電気系E3棟の2階 E3-216会議室, November 24, 2015).
  3. 永井 大介, 染谷 満, 細井 卓治, 志村 考功, 渡部 平司,
    "Ultra-high-temperature Rapid Thermal Oxidation for SiC-MOS Gate Oxide Formation,"
    先進パワー半導体分科会 第2回講演会予稿集, (01), P-61, pp.168-169
    (大阪国際交流センター, November 9-10, 2015).
  4. チャンタパン アタウット, 鄭 彦宏 , 細井 卓治, 志村 考功, 渡部 平司,
    "Interface Nitridation of 4H-SiC MOS Devices Using High-temperature N2 Post-oxidation Annealing,"
    先進パワー半導体分科会 第2回講演会予稿集, (01), P-63, pp.172-173
    (大阪国際交流センター, November 9-10, 2015).
  5. 勝 義仁, チャンタパン アタウット, 細井 卓治, 南園 悠一郎, 木本 恒暢, 志村 考功, 渡部 平司,
    "Evaluation of Carrier Trapping Characteristics in SiC MOS Devices with Interface Nitridation Treatment,"
    先進パワー半導体分科会 第2回講演会予稿集, (01), P-71, pp.188-189
    (大阪国際交流センター, November 9-10, 2015).
  6. 淺原 亮平, 野崎 幹人, 山田 高寛, 伊藤 丈予, 中澤 敏志, 石田 昌宏, 上田 哲三, 吉越 章隆, 細井 卓治, 志村 考功, 渡部 平司,
    "Thermal Stability and Electrical Properties of AlON Gate Insulator for AlGaN/GaN MOS-HEMT,"
    先進パワー半導体分科会 第2回講演会予稿集, (01), P-91, pp.228-229
    (大阪国際交流センター, November 9-10, 2015).
  7. 山田 高寛, 伊藤 丈予, 淺原 亮平, 野崎 幹人, 中澤 敏志, 石田 昌宏, 上田 哲三, 吉越 章隆, 細井 卓治, 志村 考功, 渡部 平司,
    "Investigation of Oxide Formation Process in Thermally Oxidized GaN surface,"
    先進パワー半導体分科会 第2回講演会予稿集 (01), P-92, pp.230-231
    (大阪国際交流センター, November 9-10, 2015).
  8. 野崎 幹人, 伊藤 丈予, 淺原 亮平, 中澤 敏志, 石田 昌宏, 上田 哲三, 吉越 章隆, 細井 卓治, 志村 考功, 渡部 平司,
    "Synchrotron Radiation X-ray Photoelectron Spectroscopy Study of Interface Reactions in Al/Ti/GaN Ohmic Contacts,"
    先進パワー半導体分科会 第2回講演会予稿集 (01), P-94, pp.234-235
    (大阪国際交流センター, November 9-10, 2015).
  9. 渡部 平司, 細井 卓治,
    "SiCパワーデバイス高性能化に向けたMOS界面設計と絶縁膜開発,"
    2015年秋季 第76回応用物理学関係連合講演会予稿集, 14p-4C-5,
    (名古屋国際会議場, September 13-16, 2015).
  10. 有馬 健太, 森 大地, 岡 博史, 細井 卓治, 川合 健太郎, Liu Zhi, 渡部 平司, 森田 瑞穂,
    "水分子が吸着したGeO2/Ge構造の特異な帯電状態のAP-XPS観測,"
    2015年秋季 第76回応用物理学関係連合講演会予稿集, 13p-4E-4,
    (名古屋国際会議場, September 13-16, 2015).
  11. Atthawut Chanthaphan, Yen Hung Cheng, Takuji Hosoi, Takayoshi Shimura, and Heiji Watanabe,
    "Interface nitridation of thermally-grown SiO2/4H-SiC by post-oxidation annealing in pure nitrogen gas,"
    2015年秋季 第76回応用物理学関係連合講演会予稿集, 16a-1A-8,
    (名古屋国際会議場, September 13-16, 2015).
  12. 勝 義人, チャンタパン アタウット, 細井 卓治, 志村 考功, 渡部 平司,
    "SiC MOSデバイスにおける界面窒化処理に起因した正孔トラップ生成,"
    2015年秋季 第76回応用物理学関係連合講演会予稿集, 16a-1A-9,
    (名古屋国際会議場, September 13-16, 2015).
  13. 永井 大介, 染谷 満, 細井 卓治, 志村 考功, 渡部 平司,
    "SiC-MOSゲート酸化膜形成における超高温酸化の検討,"
    2015年秋季 第76回応用物理学関係連合講演会予稿集, 16a-1A-7,
    (名古屋国際会議場, September 13-16, 2015).
  14. 山田 高寛, 伊藤 丈予, 淺原 亮平, 野崎 幹人, 中澤 敏志, 石田 昌宏, 上田 哲三, 細井 卓治, 志村 考功, 渡部 平司,
    "GaN表面の熱酸化膜形成過程の評価,"
    2015年秋季 第76回応用物理学関係連合講演会予稿集, 14p-PB2-8,
    (名古屋国際会議場, September 13-16, 2015).
  15. 淺原 亮平, 伊藤 丈予, 野崎 幹人, 山田 高寛, 中澤 敏志, 石田 昌宏, 上田 哲三, 細井 卓治, 志村 考功, 渡部 平司,
    "AlONゲート絶縁膜を用いたAlGaN/GaN MOS-HEMTの電気特性評価,"
    2015年秋季 第76回応用物理学関係連合講演会予稿集, 15p-4C-1,
    (名古屋国際会議場, September 13-16, 2015).
  16. 天本 隆史, 冨永 幸平, 田中 章吾, 細井 卓治, 志村 考功, 渡部 平司,
    "横方向液相成長法による石英基板上単結晶GeSn細線の作製と光学特性評価,"
    2015年秋季 第76回応用物理学関係連合講演会予稿集, 13a-2W-8,
    (名古屋国際会議場, September 13-16, 2015).
  17. 渡部 平司,
    "SiCパワーデバイスの高性能化に向けた酸化膜/SiC界面の評価と制御(依頼講演),"
    私立大学戦略的研究基盤形成支援事業「Spring-8を利用した量子制御に基づくグリーンイノベーション」平成27年度研究会「次世代パワーデバイスの現状と課題」,
    (関西学院大学三田キャンパスⅦ号館102号室, August 11, 2015).
  18. 渡部 平司,
    "SiC-MOS 構造の物理分析と電気特性評価に基づいた界面欠陥の理解,"
    先進パワー半導体分科会 第1回個別討論会テキスト,
    (埼玉大学東京ステーションカレッジ, August 04, 2015).
  19. 白石 賢二、長川 健太、白川 裕規、洗平 昌晃、神谷 克政、渡部 平司,
    "第一原理計算によるSiC界面欠陥発生メカニズム,"
    先進パワー半導体分科会 第1回講演会,
    (埼玉大学東京ステーションカレッジ, August 04, 2015).
  20. K. Shiraishi, K. Chokawa, H. Shirakawa, M. Araidai, K. Kamiya, H. Watanabe,
    "Theoretical Studies on SiC/SiO2 Interfaces by Computational Sciences(Invited),"
    The 183rd Meeting of the Silicon Technology Division of Japan Society of Applied Physics,
    (The Kikai Shinko Kaikan Building, July 10, 2015).
  21. 渡部 平司,
    "ゲルマニウム半導体を基盤とした次世代光電子集積デバイスへの展開(依頼講演),"
    日本真空学会関西支部&日本表面科学会関西支部合同セミナー2015テキスト, pp.1-14,
    (大阪大学会館, July 3, 2015).
  22. 渡部 平司, 細井 卓治,
    "表面界面科学を基軸としたSiCパワーデバイス開発 (招待講演),"
    JAEA放射光科学シンポジウム2015, O10,
    (SPring-8放射光普及棟大講堂, March 16-17, 2015).
  23. 渡部 平司, 細井 卓治,
    "先進パワーデバイスにおける新規ゲート絶縁膜開発,"
    2015年春季 第62回応用物理学関係連合講演会予稿集, 12p-B4-7,
    (東海大学, March 11-14, 2015).
  24. 森本 直樹, 藤野 翔, 伊藤 康浩, 山崎 周, 佐野 壱成, 細井 卓治, 渡部 平司, 志村 考功,
    "埋め込みX線ターゲットを用いた自己像直接検出型2次元Talbot-Lau干渉計の光学設計とその検証,"
    2015年春季 第62回応用物理学関係連合講演会予稿集, 11p-A26-4,
    (東海大学, March 11-14, 2015).
  25. 佐野 壱成, 森本 直樹, 細井 卓治, 渡部 平司, 志村 考功,
    "埋め込みターゲットによる透過型X線撮影法の高分解能化の検討,"
    2015年春季 第62回応用物理学関係連合講演会予稿集, 11p-A26-5,
    (東海大学, March 11-14, 2015).
  26. 伊藤 丈予, 淺原 亮平, 野崎 幹人, 中澤 敏志, 石田 昌宏, 上田 哲三, 吉越 章隆, 寺岡 有殿, 細井 卓治, 志村 考功, 渡部 平司,
    "放射光光電子分光法によるn-GaN上Al/Tiコンタクトの界面反応分析,"
    2015年春季 第62回応用物理学関係連合講演会予稿集, 12a-A21-11,
    (東海大学, March 11-14, 2015).
  27. 天本 隆史, 冨永 幸平, 梶村 恵子, 細井 卓治, 志村 考功, 渡部 平司,
    "急速加熱処理により作製したGeSn-on-quartz構造のフォトルミネッセンス測定,"
    2015年春季 第62回応用物理学関係連合講演会予稿集, 12p-A17-14,
    (東海大学, March 11-14, 2015).
  28. 福島 悠太, チャンタパン アタウット, 永井 大介, 細井 卓治, 志村 考功, 渡部 平司,
    "カソードルミネッセンス法による熱酸化SiO2/SiC界面欠陥の検出,"
    2015年春季 第62回応用物理学関係連合講演会予稿集, 13a-B4-7,
    (東海大学, March 11-14, 2015).
  29. 勝 義仁, 細井 卓治, 南園 悠一郎, 木本 恒暢, 志村 考功, 渡部 平司,
    "NOアニールを施したSiC MOSデバイスのフラットバンド電圧安定性,"
    2015年春季 第62回応用物理学関係連合講演会予稿集, 13p-B4-7,
    (東海大学, March 11-14, 2015).
  30. 高橋 由美子, 平野 馨一, 吉村 順一, 山下 良樹, 志村 考功, 長町 信治,
    "斜入射トポグラフィーと局所ロッキングカーブ法によるAlイオン注入SiC基板の歪状態の観察,"
    2015年春季 第62回応用物理学関係連合講演会予稿集, 13a-P17-2,
    (東海大学, March 11-14, 2015).
  31. 細井 卓治,
    "光電子集積回路に向けたGeデバイス技術 (依頼講演),"
    応用物理学会関西支部 支部セミナー「フォトニック信号処理セミナー」, 講演2,
    (大阪大学工学研究科P1棟1F講義室, March 11, 2015).
  32. A. Chanthaphan, Y. Nakano, T. Nakamura, T. Hosoi, T. Shimura, and H. Watanabe,
    "Understanding of Bias-Temperature Instability due to Mobile Ions in SiC Metal-Oxide-Semiconductor Devices,"
    Extended Abstracts of the 20th Workshop on Gate Stack Technology and Physics, pp. 31-34,
    (Toray Training Center, Mishima, January 29-31, 2015).
  33. T. Amamoto, K. Tominaga, K. Kajimura, M. Matsue, T. Hosoi, T. Shimura, and H. Watanabe,
    "Photoluminescence Study of Band Gap Modulation of GeSn Wires Fabricated by Lateral Liquid-Phase Epitaxy,"
    Extended Abstracts of the 20th Workshop on Gate Stack Technology and Physics, pp. 71-74,
    (Toray Training Center, Mishima, January 29-31, 2015).
  34. H. Shirakawa, M. Araidai, K. Kamiya, H. Watanabe, and K. Shiraishi,
    "First Principles Study of Proton Diffusion in SiO2 Dielectric Layer of SiC-MOSFET,"
    Extended Abstracts of the 20th Workshop on Gate Stack Technology and Physics, pp. 39-42,
    (Toray Training Center, Mishima, January 29-31, 2015).

PAGE TOP

Reviews

  1. 志村 考功,
    "小型タルボ・ロー干渉計によるX線位相イメージング -埋め込みターゲットによる微細X線光源の適用-,"
    検査技術, Vol. 20, No. 2, pp.56-60,
    (February, 2015).

PAGE TOP

PAGE TOP